UVM中启动sequence方法总结

目录

1、通过sequence.start方式启动

2、通过`uvm_do系列宏来启动 

3、通过default_sequence启动

微信公众号


​UVM中启动sequence方法有三:

1、通过sequnce.start的方式启动

2、通过`uvm_do系列宏来启动

3、通过default sequence来启动

 

1、通过sequence.start方式启动

start方法是“uvm_seuquence_base”类中的一个task。

原型为:virtual task start (uvm_sequencer_base sequencer,

            uvm_sequence_base parent_sequence = null,

            int this_priority = -1,

            bit call_pre_post = 1);

参数解释:

    sequencer:如果被启动的sequence(调用start方法的sequence)中要产生transaction, 那么必须要通过第一个参数来指明这个transaction要发给那个sequencer。

  parent_sequence: 指明start 方法中,需要调用那个sequence的pre_body() task和 post_body() task。

    this_priority: 指明产生transaction的优先级,sequen

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值