UART项目验证(四)-验证环境搭建(总)

1、前言

整个uvm学习完毕后,初步了解并搭建出简单的验证环境,故为一个uart模块搭建验证环境;该uart的具体实现及详细介绍可见这篇文章:UART项目验证(二) spec阅读

整个验证流程的架构框图如下,后面的验证环境将基于此图进行搭建:

 
 对于验证一个IP,首先要指定验证策略并提取验证点,做出相应的test plan,故为其指定如下文中介绍:UART项目验证(三) 验证策略和验证点提取

2.整体环境搭建

整个验证环境由三部分构成,我们使用了两个集成好的uvc,分别为apb_uvc与·uart_uvc,这两个部分都是已经集成好的,直接将其集成到我们的验证环境中去就可以。另外一部分主体验证环境uart_ctrl为我们需要配置的环境。

apb_uvc部分其中包括(代码就不一一贴出): 

 uart_uvc部分其中包括(代码就不一一贴出):

 uart_ctrl部分将整个验证架构最基本的部分搭建起来,其中包括apb发送数据到dut接收数据与dut发送数据,uart_uvc接收数据两条通路;搭建环境我们按照apb发送数据到dut接收数据这条通路先行搭建验证环境,该部分的正确搭建是后续添加uart_uvc的基础。

1.1 sequence_lib

整个验证环境包含apb_uvc以及uart_uvc,其中基础组件均已集成,可直接使用其中两个uvc的sequence,以及编写新的sequence

1.1.1 uart_ctrl_sequence_lib

 其中例化了apb_uvc以及uart_uvc的sequence;

1.1.2 virtual_uart_ctrl_sequence_lib

1.2 virtual_sequencer


virtual_sequencer中例化了 apb_uvc以及uart_uvc的两个sequencer

1.3 uart_ctrl_env


uart_ctrl_env中例化并声明了 apb_uvc以及uart_uvc的env实例

1.4 top顶层搭建

1.4.1 top

经提醒这里中断的连接可能是有问题的,但不知道怎么更正==

top中例化了两个interface以及dut,利用config_db将virtual interface传递给两个uvc对应的env中,然后运行case,产生了简单的复位以及时钟激励。

1.4.2 pkg


top中包含的pkg内部有各种公共文件。

 1.5 base_test

base_test中将uart_ctrl 中的env,virtual_sequencer,config进行创建连接以及声明,将uart_ctrl 中的virtual_sequencer连接到两个uvc分别的virtual_sequencer中,同时把两个uvc对应的config配置文件传递给对应的uvc。

1.6 冒烟测试

 至此整个验证环境的大框架就搭建好了,剩下的就是将剩余的每个组件写好,然后在environment中连接起来,并在top中调用即可。

进行冒烟测试,检查环境是否能正常运行

 整个uvm验证环境树状结构打印成功,基本环境运行成功 

2 其他组件以及细节填充

2.1 配置文件config


通过设置config中的参数来配置两个uvc的工作方式以及参数  

2.2 增加sequence进行简单验证


 编写了一个apb向dut写5笔数据的sequence进行环境验证

2.3 更新virtual_uart_ctrl_sequence_lib


将上面的sequence在virtual_uart_ctrl_sequence_lib中声明

2.4 编写对应case


 3 仿真波形

编写的apb2dut写入五笔数据的case成功写入 

4 结语 

至此这个验证环境搭建就结束了,通过本项目实战操练了uvm方法学的具体运用,同时自己搭建了简单的验证环境;但也存在一些问题,如对DUT理解不是很到位,导致验出来的波形不知道是DUT的bug还是本该如此,后续会对uart模块加强理解。

自己目前也只是初入茅庐,所以文章中不对的地方还敬请批评指正!需要学习的东西还是太多,但只要坚持学习,勤学多练,相信自己一定能够成功!


————————————————
版权声明:本文为CSDN博主「whddddddddddd」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。
原文链接:https://blog.csdn.net/whddddddddddd/article/details/126456806

  • 3
    点赞
  • 43
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
### 回答1: 你可以使用UVM(Universal Verification Methodology)搭建UART(Universal Asynchronous Receiver/Transmitter)验证环境,以确保验证设计的功能和性能。 ### 回答2: 搭建 UVM (Universal Verification Methodology) UART (通用异步收发传输器) 验证环境主要包括以下步骤: 1. 项目初始化:创建新的工程,包括一个顶层模块和相关接口文件。 2. 设计验证计划:制定验证计划,确定测试目标、功能和约束。 3. 编写顶层模块:使用硬件描述语言 (HDL) 编写顶层模块,包括 UART 和相关模块的连接和实例化。 4. 编写接口文件:定义 UART 的接口和信号,包括时钟、数据和控制信号。这些接口将用于建立 UVM 环境和连接到测试模块。 5. 创建 UVM 环境:使用 UVM 架构创建 UVM 环境,包括顶层测试、验证组件、代理等。在环境中,验证组件负责生成和处理测试案例。 6. 编写 UVM 测试用例:根据验证计划编写测试用例,测试 UART 在不同数据传输速率、数据位和校验方式下的功能。 7. 建立相关功能模型:建立 UART 的功能模型,并验证其与实际硬件的一致性和正确性。 8. 编译和仿真:使用编译器编译代码,并进行仿真。在仿真过程中,验证组件将生成测试数据并将其发送到 UART,然后验证数据的正确传输和接收。 9. 验证结果分析:分析仿真结果,检查测试用例是否通过,UART 是否按照预期工作。如果存在错误、冲突或其他异常,需要调试和修复。 10. 重复测试:根据需要,对修改后的设计和环境进行多轮测试,确保 UART 的正确性和稳定性。 通过以上步骤,我们可以搭建一个完整的 UVM UART 验证环境,并对其功能和性能进行全面的验证。这样可以确保 UART 设计能够按照规格要求正确工作,并在后续使用中提供可靠性和稳定性。 ### 回答3: UVM(Universal Verification Methodology)是一种全面的验证方法学,可以用于开发验证数字电路设计。在使用UVM搭建UART(通用异步收发器)验证环境时,可以按照以下步骤进行: 1. 编写UVM测试环境:首先,需要创建一个UVM测试环境,其中包含顶层测试类(test class)以及控制器、驱动程序和监视器等组件。测试类负责初始化和控制整个测试过程,控制器负责发送驱动程序生成的数据到UART,驱动程序负责将数据发送到验证对象,监视器则用于监控UART接收到的数据。 2. 实现用户自定义接口:根据UART的规格与设计要求,定义自己的用户自定义接口(user-defined interface),将其与UVM测试环境中的其他组件进行连接。 3. 配置UVM测试环境:根据测试要求和需求,对UVM测试环境进行配置。可以通过配置文件或者参数传递的方式,配置各个组件的属性、数据和操作。 4. 编写UVM驱动程序:在驱动程序中,通过用户自定义接口将数据发送到设计对象。驱动程序负责生成和管理数据流,以及将数据流传递给模块中的验证对象进行验证。 5. 编写UVM监视器:监视器用于监控用户自定义接口,抓取UART接收到的数据,并将其传递给验证环境中的其他组件进行分析和验证。 6. 进行仿真:将编写好的UVM验证环境与设计对象进行连接,并进行仿真。在仿真过程中,UVM测试环境将生成的数据发送到UART,并通过监视器监控接收到的数据。 7. 分析和验证结果:利用UVM测试环境中的分析组件或者写日志的方法,针对接收到的数据进行分析和验证。可以通过比较期望结果和实际结果的方式,判断UART的功能是否符合设计要求。 在搭建UART验证环境时,使用UVM可以提供高度的可重用性和模块化,使验证工作更加简洁和高效。通过按照以上步骤进行搭建和仿真验证,可以有效地验证UART设计的正确性和性能。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值