FPGA产生PWM波形

产生一组带死区时间互补的PWM信号用来驱动IGBT全桥电路,频率5khz,死区时间2us。

设计思路:

1.设计一个计数器,进行加法运算,设定PWM信号周期为N,计数器到N/2-1后清零重新计数。

2.两路输出信号,一路输出在计数器值大于(N/4-1)时输出高电平,否则输出低电平。一路输出在计数器值大于(N/4-1-死区时间)时输出低电平,否则输出高电平。

代码:

Testbench:

仿真文件中可以修改N的数值,使仿真时间更短。

仿真波形:

死区时间:

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值