FPGA:生成占空比可调的PWM波

前言

正文

一、50%的占空比

目标:FPGA的时钟为50MHZ,生成20KHZ的占空比位50%的PWM波
设需要计数器计到x后清零,求出x:
x/50_000_000 = 1/20_000

设计文件

module pwm #(
    parameter MAX = 2499
)(
    input clk,
    input rst_n,

    output reg clk_20k
);

reg [15:0] cnt;

always @(posedge clk or negedge rst_n) begin
    if(!rst_n)
        cnt <= 16'd0;
    else if(cnt == MAX)
        cnt <= 16'd0;
    else    
        cnt <= cnt + 16'd1;
end

always @(posedge clk or negedge rst_n) begin
    if(!rst_n)
        clk_20k <= 1'd0;
    else if(cnt == (MAX -1) /2)
        clk_20k <= 1'd1;
    else if(cnt == MAX)
        clk_20k <= 1'd0;
    else 
        clk_20k <= clk_20k;
end
    
endmodule

二、占空比可调

使用FPGA生成一个占空比为70%的PWM波
注:可以通过调节PWM_num 的大小,进而实现不同占空比的PWM波
在这里插入图片描述

// 占空比可变
module pwm_change #(
    parameter MAX = 1249,
    parameter PWM_num = 874
)(
    input clk,
    input rst_n,

    output reg clk_20k_70
);

reg [15:0] cnt_1249;
reg  dec_up;
reg  dec_down;

// 生成三角波,使用if语句,实现自加到1249后,再自减到0
always @(posedge clk or negedge rst_n) begin
    if(!rst_n)
        cnt_1249 <= 16'd0;
    else if(dec_up)  
        cnt_1249 <= cnt_1249 + 16'd1;
    else if(dec_down)
        cnt_1249 <= cnt_1249 - 16'd1;

end

always @(posedge clk or negedge rst_n) begin
    if(!rst_n)begin
        dec_up <= 1'd0;
        dec_down <=1'd0;       
    end
    else if(cnt_1249 == MAX)begin
        dec_down <= 1'd1;// 计到最大值后,向下计数信号拉高
        dec_up <= 1'd0;      
    end
    else if(cnt_1249 == 0)begin
        dec_down <= 1'd0;
        dec_up <= 1'd1; // 计到最小值后,向上计数信号拉高      
    end

end
// 通过上面已经生成的三角波,使用PWM_num调节占空比
always @(posedge clk or negedge rst_n) begin
    if(!rst_n)
        clk_20k_70 <= 1'd0;
    else if(cnt_1249 >= PWM_num)
        clk_20k_70 <= 1'd0;
    else if(cnt_1249 < PWM_num)
        clk_20k_70 <= 1'd1;
    else 
        clk_20k_70 <= clk_20k_70;
end
    
endmodule

最后生成的70%占空比的PWM波形如下:
在这里插入图片描述

  • 0
    点赞
  • 67
    收藏
    觉得还不错? 一键收藏
  • 7
    评论
占空比可调PWM是一种通过改变高电平与低电平的时间比例来控制输出信号的占空比的脉冲宽度调制形。具体实现方法可以利用直流和三角通过比较器进行比较,当直流的幅值大于三角时,比较器输出高电平,当直流的幅值小于三角时,比较器输出低电平。通过改变直流的幅值,可以实现占空比的调节。 在硬件电路中,可以利用单片机输出可调占空比PWM来实现DC-DC电压变换的输出电压调节。通过单片机输出不同占空比PWM作用于相应的模块或芯片,可以实现电压的变化。例如,可以通过单片机的输出控制IRF520模块的占空比,进而实现对输出电压的调节。 在DIY过程中,如果选用共阳极的数码管,可以通过低电平驱动发光,从而要求驱动功率较小。然而,如果使用的是共阴极数码管,也可以通过相应的电路设计实现数码管的驱动。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [比较器应用三:占空比可调PWM](https://blog.csdn.net/k1ang/article/details/86190866)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [电力电子课设|数控产生PWM|使用51单片机输出占空比可调PWM(按钮控制、数码管显示)速成教程](https://blog.csdn.net/HP_C2H2/article/details/130781700)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 7
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值