`timescale 1 ns/1 ps // 设置时序精度为1纳秒/1皮秒
module top(); // 定义一个名为top的模块
import fifo_params::*; // 导入FIFO参数定义文件中的所有内容
fifoPorts #(DSIZE) itf(); // 实例化一个名为itf的FIFO接口端口,参数为DSIZE
fifo_top i0 (itf.DUT); // 实例化一个名为i0的FIFO模块,并连接到itf接口的DUT
environment env; // 实例化一个名为env的环境类对象
run u_run(itf); // 实例化一个名为u_run的运行类对象,并将itf接口传递给它
initial begin // 初始块开始
$fsdbDumpfile("sim.fsdb"); // 设置FSDB文件名为sim.fsdb
$fsdbDumpvars(0,top,"+all"); // 将顶层模块top中的所有变量写入FSDB文件
$fsdbDumpflush(); // 刷新FSDB文件
end
endmodule // 模块定义结束
top.sv
最新推荐文章于 2024-11-07 23:57:26 发布