野火FPGA系列教学视频---多路选择器

大体思路是:有两路输入信号,一个选通信号,当选通信号高电平时,按输入1的波形,当选通信号为低电平时,按输入2的波形。

大致波形图如下图:

rtl代码如下:

module mux2_1
(
    input   wire    [0:0]   in_1,
    input   wire            in_2,
    input   wire            sel,
    output  reg             out
);
always@(*)
    if(sel==1'b1)
        out =in_1;
    else
        out =in_2;
endmodule

 modelsim代码如下:

`timescale 1ns/1ns
module tb_mux2_1();
reg     in_1;
reg     in_2;
reg     sel;
wire    out;
initial
    begin
        in_1    <= 1'b0;
        in_2    <= 1'b0;
        sel     <= 1'b0;
    end
always #10 in_1 <=  {$random} %2;
always #10 in_2 <=  {$random} %2;
always #10 sel <=  {$random} %2;
initial
    begin
        $timeformat(-9,0,"ns",6);
        $monitor("@time %t:in_1=%b in_2=%b sel=%b out=%b",$time,in_1,in_2,sel,out);
    end
mux2_1 mux2_1_inst
(
    .in_1(in_1),
    .in_2(in_2),
    .sel (sel),
    .out(out)
);
endmodule

 我们给input1为KEY1,input2为KEY2,选通信号为KEY3,OUT为LED灯。

仿真时:

波形图和我们预期一样

上板验证:

 

 

 

 

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值