数字IC验证:System Verilog -接口Interfaces

写在前面:
最近实习期间自学System Verilog,找到一个英文教程Asic-world/system verilog,写得挺好的,主要通过代码示例进行学习。因此翻译下来作为巩固与分享,其中也额外增加了补充内容。英文原文入口:Asic-World (Interfaces)。Copyright: Deepak Kumar Tala。文中的所有例程会上传到我的资源中。
若有任何翻译不当之处,请在评论区指正,感谢!

1 引言Introduction

接口interface是SV中最佳特性之一:

  • 接口能够进行端口的捆绑
  • 接口在设计中实例化,能够作为单个item、组件网络或变量,通过端口访问
  • 接口也可以包含任务和函数
  • 为了便于主从类型的支持,接口包含了modport

2 接口中的端口Ports in Interface

在接口内部,接口允许wire和变量直接进行通信(连接)。但对于接口之间的通信(连接),就需要用到接口内部的端口。接口中的端口和模块一样,可以是任意数据类型。

3 Modports

SV中的modport是用于限制接口内的访问

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值