【SystemVerilog】类的重载class override

应用场景:有时候为了添加一些新特性,定义新的类A extends 类B,在后续的使用中,想要在所有用到类B的地方,直接替换成类A。这时候就可以使用类的重载class override

使用方法:
假设现在class c_dig_qspi_reg_block_ext extends c_dig_qspi_reg_block,要把reg_block直接替换成reg_block_ext,可以在base test的build_phase里用set_type_override_by_type方法
在这里插入图片描述
在这里插入图片描述

对该方法的各参数介绍与使用可以参考这个博客:UVM:8.2.2 重载的方式及种类

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

IC Beginner

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值