UVM中的打印信息

重载打印信息严重性

可重用性是UVM的超级特性。

1. UVM默认有四种信息严重性UVM_INFO、UVM_WARNING、UVM_ERROR、UVM_FATAL,对应的宏分别为:uvm_info、uvm_warning、uvm_error、uvm_fatal。

2. 当uvm_fatal出现时,表示出现致命错误,仿真会立刻停止;

当uvm_error达到一定数量时,仿真会结束,达到10个error就停止仿真;

打印退出机制:

+UVM_MAX_QUIT_COUNT = 10

3 打印等级

UVM_NONE  UVM_LOW  UVM_MEDIUM   UVM_FULL   UVM_DEBUG (highest level)

使用 +UVM_VERBOSITY=UVM_MEDIUM  进行配置,MEDIUM以下的级别都会打印出来。

4. uvm_info

UVM_INFO my_driver.sv
(20
)@48500000
:drv[my_driver]data is drived

line1:出现了UVM_INFO关键字,表明这是一个uvm_info宏打印的结果。

my_driver.sv(20)   :此条打印信息来自my_driver.sv,且原始的uvm_info打印语句在my_driver.sv中的行号为20。

48500000:此条信息的打印时间。

drv:driver在UVM树中的路径索引。

[my_driver]:调用uvm_info宏时传递的第一个参数为my_driver。

data is drived:表明宏最终打印出的信息。

类的实例化就是想通过new()来通知SV的仿真器:请创建一个A的实例。

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值