UVM设置超时退出timeout


前言

在uvm搭建的仿真环境,仿真过程中,有可能会出现仿真挂死的现象,导致仿真会一直卡在某个地方,无法自动退出。uvm提供了仿真超时退出的功能,本文主要介绍,如何在uvm环境中设置超时退出。


一、在仿真选项中设置

uvm中提供了+UVM_TIMEOUT=“time, override”这个选项,用于控制仿真超时退出。
time是超时的时间;override的选项为YES和NO,用于控制是否覆盖环境中设置的超时时间,UVM中默认的超时时间是 9,200秒。

`define UVM_DEFAULT_TIMEOUT 9200s

代码举例如下,当仿真超过300ns的时候,仿真会给出一条uvm_fatal的提示信息,并退出仿真。

<sim command> +UVM_TIMEOUT="300ns, YES"

二、在仿真环境中设置

在仿真环境中设计超时退出的代码如下所示,这样设置能够被+UVM_TIMEOUT方法覆盖,建议在base_test的new函数中去设置。

function void base_test::new(string name, uvm_component parent);
	super.new(name, parent);
	uvm_root::get().set_timeout(.timeout(1ms))
endfunction

总结

本文主要总结了,在uvm环境中设置仿真超时退出的两种方法。

  • 3
    点赞
  • 43
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值