EDA领域四大会议

DAC(国际设计自动化会议,Design Automation Conference)、ICCAD(国际计算机辅助设计会议,International Conference on Computer Aided Design)、DATE(欧洲设计自动化与测试学术会议,Design, Automation and Test in Europe)和ASP-DAC(亚太地区设计自动化会议,Asia and South Pacific Design Automation Conference)一起被公认为电子设计自动化领域水平最高的四大国际会议。

DAC和ICCAD会议固定在美国举办,DATE欧洲举办,ASP-DAC在亚太举办。

一、DAC

DAC(国际设计自动化会议,Design Automation Conference)起源于SHARE(Society to Help Avoid Redundant Effort)在1964的举办的DAW(design automation workshop),在这一届“DAW”会议上,August C. Bolino在演讲题目是“自动化的经济和社会方面 Economic and social aspects of automation”的主旨报告(Keynote Report)中,讲述了自动化的好处。大会总结语中说到,设计自动化是一个全新的、年轻而独特的工业艺术(Design Automation:a new, young unique industrial art)。

SHARE design automation workshop在1965年更名为SHARE design automation project,1967年正式更为现名Design Automation Conference。

DAC是以电子设计系统((EDA)、嵌入式系统及软件(ESS)和知识产权(IP)为主题的重要大会,是集成电路设计界的大聚会,是全球EDA、Foundry、IP提供商的盛会。DAC会议被誉为EDA界的奥斯卡,是全球领先的技术性大会和电子设计设计自动化商展,被公认为是电子设计自动化(EDA)和硅解决方案的首要教育性和交流性的大会。。DAC为设计师,研究人员,工具开发人员和供应商提供出色的培训、教育、展览和一流的交流机会。每年的参会人数以千计,受到全球业内人士和专家学者的高度关注。参加第一届SHARE DAW的人数仅有250人左右,而到2005年时参会人数达5500人。目前维持在大约6000人的规模。

DAC从创办之初就聚焦于EDA产业,并且伴随着EDA产业的发展而持续探索如何应对层出不穷的新挑战。

作为一个学术性会议,会议初期没有展览。到1970年代中后期,一些公司开始要求主办方开放空间来展示他们的产品。由于1981年EDA才逐步商业化,所以在1984年,DAC第一次举办了第一个以电子设计自动化为主题的商业销售展览。

DAC涵盖近60个技术方面最新的设计方法论和技术研究,电子设计专家委员会研讨EDA的发展和趋势。DAC很重要的一点是展区和配套区域将有大约250个领先和新兴的EDA,硅行业和IP提供商。

技术会议,分成10个方面:模拟/混合信号/RF和推理;自动化电子;业务;DFM和生产界面;互联和可靠性;低功率设计;新型和新兴技术;物理设计,合成和FPGA;系统级别和嵌入式系统设计;验证和测试。

每年大会专家委员会精挑细选多个技术专题和会议,介绍有关业界最新发展和趋势、管理实践、新产品,新方法和新技术的信息。

中国EDA公司

2007年DAC大会出现了中国大陆公司身影,首个亮相DAC的是来自北京的半导体器件建模软件供应商艾克赛利微电子(Accelicon,2011年被安捷伦收购,现是为是德科技旗下EESOF的一部分);2011年概伦电子(ProPlus Design Solutions, Inc.)首次在DAC亮相;2012年华大九天(Empyrean)首次亮相;2017年博达微(PDA)身影也出现在展馆;2018年广立微(Semitronix)、芯禾科技(Xpeedic)首次参展。

最佳论文

在2017年DAC上同时有五篇第一作者为大陆学生/学者的文章获得最佳论文提名,包括北京大学梁云老师组、清华大学刘雷波老师组、清华大学汪玉老师组、复旦大学曾璇老师组、福州大学教朱文兴授组各有一篇获奖。最后福州大学教朱文兴授和陈建利副教授课题组与台湾大学张耀文教授合作的论文Toward Optimal Legalization for Mixed-Cell-Height Circuit Designs获得最佳论文奖!

SDC

2018年,DAC举办了第一届系统设计竞赛(System Design Contest, SDC)。本届比赛旨在为无人机设计高精度且高能效的物体检测系统,以满足实际复杂场景的需要。比赛任务极具挑战性,参赛设计需要考虑小物体及被遮蔽物体检测,需要区分同场景多个相似目标,也需要考虑检测速度及功耗等多方面因素。赛制规定以GPU和FPGA两种硬件架构划分,分别进行竞赛,Nvidia和 Xilinx分别为GPU和FPGA组的参赛队伍提供免费的嵌入式计算设备TX2 GPU和PYNQ Z-1 FPGA,共吸引全球114支队伍参加。最后,GPU 组的前三名分别是中科院计算所的ICT-CAS团队,浙江大学的DeepZ团队和山东大学的SDU-Legend团队。FPGA组的第一名是来自清华大学的TGIIF团队。

2019年的SDC比赛中,西安交通大学的团队XJTU-Tripler获得FPGA组的第二名;来自清华大学和清微智能联队获得GPU组的第二名,上海科技大学和浙江大学的联队DeepZS获得GPU组第三名。

二、ICCAD

ICCAD(国际计算机辅助设计会议,International Conference on Computer Aided Design)将于2019年11月4-7日在美国康涅狄格州威斯敏斯特(Westminster)举办第38届会议。

ICCAD是一个关于电子设计自动化的年度会议,通常于11月初在美国举行。它由IEEE电子设计自动化委员会(Council on Electronic Design Automation,CEDA)、计算机协会(ACM)的设计自动化特别兴趣小组(SIGDA)共同主办。

ICCAD始于1982年,当时恰逢大规模集成电路的发展。板级电路功能的急剧增加导致对更强大的电子设计自动化(EDA)工具的主要需求。与此同时,LSI发展迅速,先进的电路集成得到了广泛的应用。反过来,这需要使用复杂的建模、分析和优化算法的新工具,以便管理日益复杂的设计过程。

在同一时期,许多初创公司开始将EDA解决方案商业化,补充了现有的各种内部工作。为新兴的EDA专业人士提供了一个新的交流平台,增加对设计自动化(DA)的整体兴趣,于是在CANDE研讨会(Computer-Aided Network Design Workshop)上,Bill McCalla建议为EDA专业人士创办一个会议,于是ICCAD应运而生。

2012年,在第31届ICCAD时,增加了竞赛活动。ICCAD竞赛针对当前集成电路设计自动化所面临的亟需解决的问题,由国际业界一流集成电路设计公司直接出题,以期对目前工业界遇到的最困难的集成电路设计问题提出更好的解决办法。竞赛的结果可以直接转化成工业界的解决方案,对集成电路计算机辅助设计的发展有很大的促进作用。

ICCAD赛事于每年2月公布竞赛题目,参赛团队需在7月和8月分别提交“alpha test”和“beta test”版本,并于 9 月提交最终研发成果和竞赛软件系统。之后,所提交的软件系统由工业界公司负责测试,并在每年11 月召开的ICCAD会议上公布最终竞赛结果。作为电子设计自动化领域的年度盛事,ICCAD 竞赛每年都吸引到来自世界各地包括麻省理工学院、东京大学、香港中文大学、清华大学的100多支集成电路领域顶尖研究团队参与角逐,竞赛结果也将引发工业界和学术界的高度关注。

ICCAD竞赛自2012年举办以来,参赛队伍不断扩大,2015年有来自12个地区的112支队伍,2016年有来自11个地区的135支队伍,2017年有来自10个地区的123支球队,2018年有来自11个地区的136支队伍。

在最新的技术程序委员会(Technical Program Committee)名单中,我国有多位专家入选,包括福州大学陈建利、中国科学院计算技术研究所陈晓明、上海交通大学钱炜慷、北京大学孙广宇、清华大学叶佐昌、清华大学尹首一、南方科技大学余浩、复旦大学曾璇、浙江大学卓成、复旦大学邹乔莎。

三、DATE

DATE(欧洲设计自动化与测试学术会议,Design, Automation and Test in Europe)的第一届会议于1998年在法国巴黎召开。其前身是1995年开始的ED&TC(欧洲设计和测试会议,European Design and Test Conference)。

而ED&TC是由ETC(欧洲测试会议,European Test Conference)、EDAC(欧洲设计自动化会议,European Conference on Design Automation)和EuroASIC三个会议合并在一起。

EuroASIC始于1985年,每两年一届;ETC(欧洲测试会议,European Test Conference)始于1989年,每两年一届;EDAC(欧洲设计自动化会议,European Conference on Design Automation)始于1990年,每年一届。1993年,DAC、EUROASIC同期举办;1994年ETC、EDAC、EuroASIC同期举办。

DATE由SIGDA(设计自动专业化组,Special Interest Group on Design Automation)、EDA联盟、SEMI/EDAS、ECSI、CEDA、RAS共同主办,并得到TTTC(Test Technology Technical Council)、SSCS(固态电路学会,Solid-State Circuits Society)、IFIP(国际信息处理联合会,International Federation for Information Processing)的联合支持。

DATE是固定在欧洲每年举行的电子设计自动化的主题会议。创办三十年来,DATE已经成为全球电子设计与测试领域的着名学者、企业界专家的交流盛会。和DAC会议一样,DATE也是一个技术和展览相结合的会议.

DATE会议对学术论文质量要求很高,得到了学术界和工业界的广泛关注。其每年的论文录取率不足25%,有些年份的论文录取率比DAC都要低。

作为一个在欧洲举办的会议,来自欧洲以外地区的投稿数在总数中的比例已经超过50%,DATE可谓是名符其实的国际盛会。

国内长期关注DATE会议的主流团队有:计算所李晓维研究员团队,该团队依托于计算机体系结构国家重点实验室,其研究领域包括测试与设计验证、可靠性设计和VLSI与容错设计;清华大学杨华中教授团队,该团队的研究领域包括SOC芯片结构设计、综合与验证、模拟及混合信号系统设计;复旦大学曾璇教授团队,该团队依托于专用集成电路与系统国家重点实验室,主要从事集成电路设计和计算机辅助设计方向的研究;香港中文大学徐强团队,该团队的研究点主要包括容错计算、可信任计算、针对财经和生物医学的加速计算。

四、ASP-DAC

ASP-DAC(亚洲及南太平洋地区设计自动化会议,Asia and South Pacific Design Automation Conference)始于1995年,由SIGDA、CAS、CEDA主办,是集成电路设计与辅助工具研究领域国际上最具影响力的学术会议之一,受到世界范围内该研究领域专家学者的广泛重视。

第一届ASP-DAC是在1995年8月29日至9月1日和VLSI95(Symposium on VLSI Technology and Circuits 1995)和CHDL95(IFIP International Conference on Computer Hardware Discription Language and their Applications 1995)一起在日本举行的。

1997年1月举行了第二届ASP-DAC会议,此后,会议就固定在每年1月召开。并在2000年在日本横滨(Yokohama)举办的第五届ASP-DAC会议增加“大学集成电路设计竞赛”环节。

在至今举办的24届会议中有15届是在日本召开的,其他举办城市包括中国香港(第4届)、印度班加罗尔(第7届)、中国上海(第10届)、韩国首尔(第13届)、中国台湾(第15届)、澳大利亚悉尼(第17届)、新加坡(第19届)、澳门(第21届)、韩国济州岛(第23届)。从第13届起,开始在日本和亚太其他地区轮流召开。

2020年1月13-16日,第25届大会将移师来到中国北京召开。香港科技大学教授郑光廷(Tim Kwang-Ting CHENG)和清华大学教授杨华中担任大会共同主席;国立清华大学教授何宗易(Tsung-Yi Ho)担任技术程序委员会主席,加州大学河滨分校教授谭向东(Sheldon Tan)和杜克大学教授陈怡然担任技术程序委员会副主席;复旦大学教授曾晓洋和清华大学副教授尹首一担任设计竞赛联席主席,曾晓洋在2005年也担任设计竞争大赛联席主席。

  • 1
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值