【Verilog】【Vivado】计数器示例

使用计数器,让板载led每0.5秒改变一次状态。

时序原理

在这里插入图片描述

在这里插入图片描述
说明:

系统时钟频率100MHz。在未分频情况下,(上升沿计数)计数一次的时间是1/100M = 0.01us = 10ns。在1s内的10ns个数记为M, M=1/10n = 1*10^8个。由于计数器从0开始计数,因此计数峰值是M-1,即一个计数周期计数M-1=9999999次。

计数器cnt模块:

module counter
#(
    parameter   CNT_MAX = 25'd24_999_999   //parameter定义参数(宏定义)
)
(
    input   wire    sys_clk,
    input   wire    sys_rst_n,
    
    output  reg    led_out
);

reg     [24:0]  cnt; //25位宽的计数器 

/* 计数器cnt */
always@ (posedge sys_clk or negedge sys_rst_n)
    if(sys_rst_n == 1'b0)  //复位信号有效时(复位时),cnt清零
        cnt <= 25'd0;
    else if (cnt == CNT_MAX)   //计数到最大值,cnt清零
        cnt <= 25'd0;
    else
        cnt <= cnt + 25'd1;    //每个时钟上升沿cnt自增1
        
/* 由计数器控制的器件led */
always@ (posedge sys_clk or negedge sys_rst_n)
    if(sys_rst_n == 1'b0)   //初始状态
        led_out = 1'b0;
    else if (cnt == CNT_MAX)  //计数器cnt记满一次,led电平反转一次
        led_out = ~led_out;
    else     //cnt未记满,led电平保持
        led_out = led_out;

endmodule

模块rtl示意图:
在这里插入图片描述
模拟程序:

`timescale 1ns / 1ns
module tb_counter();

reg     sys_clk;
reg     sys_rst_n;
wire    led_out;

initial 
    begin
        sys_clk = 1'b1;
        sys_rst_n <= 1'b0;
        #20
        sys_rst_n <= 1'b1;  
    end

always #10  sys_clk = ~sys_clk;  //产生时钟信号:每10ns反转一次,T=50MHz

counter     //实例化counter,实例化名称写在宏定义后
#(
    .CNT_MAX(25'd24)
)counter_inst  
(
    .sys_clk(sys_clk),
    .sys_rst_n(sys_rst_n),
    .led_out(led_out)
);
endmodule

管脚绑定:

(本文使用的XILINX板子型号:xc7a35tcsg324-1,其他型号的管脚绑定请自行查看技术文档)
在这里插入图片描述

上电测试

生成比特流文件后上电测试。

  • 5
    点赞
  • 41
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Vivado中,可以使用Verilog HDL语言实现一个计数器。你可以创建一个名为"counter"的工程,并在该工程中创建一个名为"counter"的设计文件。在该设计文件中,你需要定义一个模块名为"counter",该模块包含时钟信号和复位信号作为输入,以及一个四位的输出用于计数。以下是一个示例Verilog代码: ``` module counter( input clk, input rst, output reg out // 4位计数器 ); always @(posedge clk or posedge rst) begin if (rst) begin out <= 0; end else begin out <= out + 1; end end endmodule ``` 你还可以使用仿真设计代码来验证计数器的功能。以下是一个示例的仿真设计代码: ``` module counter_simulation(); reg clk; reg rst; wire [3:0 out; counter c(.clk(clk), .rst(rst), .out(out)); // 例化计数器模块 initial begin clk = 0; rst = 1; #10; rst = 0; end always #5 clk = ~clk; initial begin #200; // 仿真持续时间 $finish; end endmodule ``` 这段代码将实例化计数器模块,并对时钟和复位信号进行初始化,然后通过每隔5个时间单位改变一次时钟信号的值来模拟时钟信号的上升沿。仿真持续时间为200个时间单位。你可以使用这段代码来验证计数器的功能和正确性。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [FPGA Vivado环境下实现计数器](https://blog.csdn.net/qq_53632296/article/details/131350046)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值