VScode搭建轻量化Verilog IDE方法

来源:硬件加速与EDA

特点:快速、便捷、能很快的查看波形、平时刷笔试题的时候很方便。

c4242ba8c45d50525be68d3be7f52029.png

step1:下载vscode  和所需插件所需安装包。

  • vscode:https://code.visualstudio.com

  • python3:https://www.python.org/downloads

  • iverilog:http://iverilog.icarus.com/

  • java:https://www.java.com/en/

  • ctags、verilog-format:

最好放在vscode目录,自己创一个plugin文件夹

26ed176aaf2ac47a51934b0d4ee64b21.png

step2:安装vscode中的插件

657b0099cff8d9a6ac5e90511c760bb3.png

Wavetrace可以打开vcd文件查看波形

 045cc961be3cea4772edd628a59b1ac0.png

step3:设置插件基本变量和参数:

打开用户设置(左下角),搜索verilog

9feeae4bdde9dca0bb97e149b0ae6c96.png

按照以下和安装插件的路径设置

87c7d148da27943519cd9645900316d1.png

98be40d31fd8a6aba3ed88c1be256ddf.png

8624a9b773c4adfc5d8973a9ceece474.png

f9424d4ecfdfe7f1006d71c9ba250064.png

step4:testbench插件 python脚本优化:

安装testbench插件后通过ctrl+shift+p选择testbench可以直接在终端生成testbench文本,但还需要复制到新的.v文件中非常麻烦,因为此插件是用python脚本完成的,所以我们可以自己修改一下。本步骤优化完成后,能自动生成testbench文件。 

1、修改powershell脚本

直接使用vscode终端,输入echo $profile,定位profile文件,使用vscode打开编辑。

52d12feedd188383713ea059bc10217a.png

 添加以下内容

258d0934ebfe4717bed174f68711b088.png

修改内容:

function createtb_function{

    param(

        [Parameter(ValueFromPipeline=$true)]

        $InputObject

    )

    $FileName = $InputObject

    $tbFileName = "tb_" + $FileName.split("\")[-1]

    echo $tbFileName

    python $env:TestBenchPath $FileName >> $tbFileName

}

set-alias ll Get-ChildItemColor  

$env:TestBenchPath="C:\Users\lenovo\.vscode\extensions\truecrab.verilog-testbench-instance-0.0.5\out\vTbgenerator.py"

set-alias tb createtb_function

修改完成后在终端输入tb module_name.v即可生成相应testbench文件

2、修改原有的testbench插件的python脚本

直接在vscode中打开

b0580c19babe8ca5fc05afc45457c946.png

编译testbench文件需要在testbench中添加`include<源文件.v>这句话,原来的脚本没有,所以需要自己修改一下,以下为添加的内容:13cbfe82183ffd34f80c17bbf6b5c630.png

也可以添加一些自定义的内容

此脚本输出有一个问题,python输出的testbench编码格式是UTF-16而一般.v文件编码格式为UTF-8这会导致在编译过程中无法找到顶层模块,此时需要手动将testbench文件的编码格式转为UTF-8,此操作在vscode中可以直接完成(在状态栏点击编码格式,选择按转码保存即可)

ce662e526c28a4acdedbe5d60fe407da.png

python输出testbench提示chardet错误,原因为python3配置问题具体参考以下博客:

ttps://blog.csdn.net/hackerwpf/article/details/114658490

step5:配置完成后完整使用流程

1、在coding完成后,可以单独点击右上角绿色编译按钮编译,检查综合或语法错误。

2、在vscode中打开终端(ctrl+`),输入tb+目标代码文件.v 自动生成testbench文件

注意需要更改testbench编码格式为UTF-8

3、在testbench文件下点击右上角绿色编译按钮编译后即可生成vcd文件:

此步骤前需要在testbench中添加下列内容。.vcd前为文件名称可自行更改。

81e94279e4d0c2df46146f26e29e3a31.png

5、由于我们之前安装了Wavetrace这个波形插件,直接在vscode中双击打开vcd文件即可

17e529baddab17201f61c03e3eff5b3f.png

a5813bd4139b3f1d164eee53a0275dc1.png

f73c24b26eb9990a98a5a409a492e9e6.png

Wavetrace这个软件可以完成大部分功能仿真的功能,免费监测8个独立信号,若需要更多信号需要去官网购买license 15刀两台机器授权,免费版可以更改波形颜色,放大缩小,改变数据输出格式、信号分组等 

Testbench脚本修改和插件安装参考了以下几个博客资料:

https://www.bilibili.com/video/BV1S541147GB

https://blog.csdn.net/qq_39498701/article/details/84668833

https://blog.csdn.net/hackerwpf/article/details/114658490

*声明:本文于网络整理,版权归原作者所有,如来源信息有误或侵犯权益,请联系我们删除或授权事宜。

‧  END  

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值