(一)IC开发环境使用总结

一、linux基本使用:

1、命令:

/:查找命令高亮,

noh:去除高亮

vsp 当前文件夹下的文件名,左右分屏打开文件,先CTRL+w 再h/l,分屏后,光标左右跳转

Ctrl v ->hjkl->shift i->操作->esc:列编辑

开始,结束s/旧字符/新字符/g:范围内字符替换

%s/旧字符串/新字符串/g:全篇替换

0,^,$:光标跳转

vimtutor:vim帮助文档

set list:显示出未显示的符号tab

set nolist:关闭多余的显示

gvim -p + 多个文件:可以同时打开多个文件

gvimdiff +多个文件/(gvim -d +多个文件):比较几个文件不同

gvim on++多个文件:竖着显示多个文件

gvim On++多个文件:横着显示多个文件

:qa 退出所有文件

centos7:

打开关闭终端快捷键:shift+ctrl+t/shift+ctrl+w

Shift+Ctrl+Q:关闭终端

终端中的复制/粘贴:

Shift+Ctrl+C:复制

Shift+Ctrl+V:粘贴

evince name.pdf:打开pdf文件

查找vcs 路径:

echo $VCS_HOME

which vcs

2、设置vim窗口大小

默认的vim窗口编辑大小一般很小,所以这里我们在_vimrc这个文件中来设置vim默认的窗口大小
打开_vimrc文件,输入下面代码:
set lines=35 columns=118
其中lines是窗口显示的行数,columns是窗口显示的列数。

3、设置vim默认的配色方案

vim默认的配色方案的背景色是白色的,修改vim默认配色方案只需下面—条代码
打开_vimrc文件输入这一行: colorscheme desert 设置desert或者其他颜色。

4、tree命令

Linux下不能使用tree命令,是因为没有安装命令,执行下面代码就行了
yum install tree -y

5、设置自动补全符号:

在_vimrc文件中加入以下代码

inoremap (  ()<Esc>i
inoremap [  []<Esc>i
inoremap { {}<Esc>i
inoremap" ""<Esc>i

二、DVE查看波形常用函数

$vcdplusfile("***.vpd");指定生成vpd波形文件名字

$vcdpluson();自动dump dve的波形

$vcdplusmemon();dve看mem波形

$vcdplusdeltacycleon; dump  deltacycle

$dumpvar(level, module_name):表示要dump的信号,level是层次, module_name就是模块名

默认是(0,top),top层及下面所有层的信号,(1,top):只有top的信号,(2,top):top层及下一层的信号

dump-add XXXX -depth 0: 表示dump(保存)vpd波形文件,XXXX:表示顶层文件,一般是tb文件

sDVE:可以查看跳变时的波形变化手动delta cycle,在时钟沿时刻波形并不是同时变化的

1、预先选中对应波形数据跳变的地方

2、在波形窗口打开 Simulator 选项下的 Capture Delta Cycle Values

3、然后在波形对应时间点,右键选择"Expand Time”,即可看到展开了的delta cycle。

三、VCS的使用

执行命令: vcs
verilog.v-y ./rtl +libext.+v -debug_all -ucli -R

-R表示编译后立即执行
不加的化需要执行完上个命令后执行命令./simv -ucli启动ucli调试

Makefile:要用tab开头

KDB:是vcs生成的可以给verdi debug用的文件database

-ssf:打开fsdb文件的命令

fsdb: verdi打开的波形文件

du -sh 文件:查看文件大小

dve -full64 -vpd *.vpd & :只是看波形,不能simuation;相当于打开一个word一样

`include:用法注释掉(Makefile或者tb)中的多余的一个文件,include只会在当前目录下寻找文件,若当前目录没有对应文件,就以当前目录为标准用相对路径,包含的文件以小到大,从底层到顶层

$finish:表示仿真结束

$stop:表示停止仿真,界面会停在ucli%

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值