EDA 实验:计数器

之前实验存个档

源程序:

module count(clk,reset,en,load,up_down,q,count,d );
input clk,reset,en,load,up_down; 

 //clk时钟信号;复位端reset;en使能端;load预制数控制端;up_down增减计数控制端
//reset低电平,输出清零
//en高电平对输出进行赋值
//load高电平,输入d的值在clk上升沿存入计数器寄存器reg_in
//up_down低电平加法计数器,高电平减法计数器
input [3:0] d;  //输入
output reg [3:0] q;  //输出
output count;  //  B/C
reg [3:0] reg_in;  //寄存器
reg count;
always @(posedge clk or negedge reset)
begin
    if(!reset)
    begin
    q=0;
    count=0;
    end
    else if(reset)
        begin
        if (load)
        begin
        q=d;
        count=0;
        end  //reg_in==q?
        else if (~load)
            begin
            if(en)
                begin
                if(!up_down)
                    begin
                    

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值