FPGA-DDS信号发生器

DDS 是直接数字式频率合成器(Direct Digital Synthesizer),与传统的频率合成器相比,DDS 具有低成本、低功耗、高分辨率和快速转 换时间等优点,一般用它产生正弦、锯齿、方波等不同波形或不同频率的信号波形,在电子设计和测试中得到广泛应用。

DDS的基本结构:DDS 的基本结构主要由相位累加器(核心)、相位调制器、波形数据表 ROM、D/A 转换器等 四大结构组成,其中较多设计还会在数模转换器之后增加一个低通滤波器。

在相位累加器中,完成相位累加,生 成相位码。相位累加器的输入为频率字输入 K,表示相位增量,设其位宽为 N,满足等式 K = 2N * f(OUT) / f(CLK) ; 当 K = 1 时,可得 DDS 最小分辨 率为:fOUT = fCLK / 2^N,此时输出信号频率最低。根据采样定理(在进行模拟/数字信号的转换过程中,当采样频率fs.max大于信号中最高频率fmax的2倍时(fs.max>2fmax),采样之后的数字信号完整地保留了原始信号中的信息),K 的最大值应小于 2^N / 2。其在输入相位累加器之前,在系统时钟同步下做数据寄存,数据改变时不会干扰相位累加器的正常工作。

相位调制器接收相位累加器输出的相位码, 在这里加上一个相位偏移值 P,主要用 信号的相位调制,如应用于通信方面的相移键控等,不使用此部分时可以去掉,或者将其设为一个常数输入,同样相位字输入也要做寄存,相位调制器输出在数值上为Mout = P + Min(Min为频率字累加器计算出的用于寻址的高12位)。

波形数据表 ROM 中存有一个完整周期的正弦波信号。假设波形数据 ROM 的地址位宽 为 12 位,存储数据位宽为 8 位,即 ROM 有 2^12 = 4096 个存储空间,每个存储空间可存储 1 字节(8bits)数据。将一个周期的正弦波信号,沿横轴等间隔采样 2^12 = 4096 次,每次采集的信号幅度用 1 字节数据表示,最大值为 255,最小值为 0。将 4096 次采样结果按顺序写入 ROM 的 4096 个存储单元,一个完整周期正弦波的数字幅度信号写入了波形数据表 ROM 中。波形数据表 ROM 以相位调制器传入的相位码为 ROM 读地址,将地址对应存储单元中的电压 幅值数字量输出。

D/A 转 换 器 将 输 入 的 电 压 幅 值 数 字 量 转 换 为 模 拟 量 输 出 , 就 得 到 输 出 信 号 CLK_OUT。 输出信号 CLK_OUT 的信号频率 fOUT = K * fCLK / 2^N。

相位累加器得到的相位码是如何实现 ROM 寻址?

对于 N 位的相位累加器,它对应的相位累加值为 2 N,如果正弦 ROM 中存储单元的个 数也是 2 N 的话,这个问题就很好解决,但是这对 ROM 的对存储容量的要求较高。在实际 操作中,我们使用相位累加值的高几位对 ROM 进行寻址,也就是说并不是每个系统时钟 都对 ROM 进行数据读取,而是多个时钟读取一次,因为这样能保证相位累加器溢出时, 从正弦 ROM 表中取出正好一个正弦周期的样点。

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值