FPGA-IP-ROM

ROM(Read-Only Memory):一种只能读出事先所存数据的固态半导体存储器;特点:一旦储存资料就无法再将之改变或删除,且资料不会因为电源关闭而消失。

用 IP 核生成的 ROM 模块只是提前添加了数据文件 (.mif .hex 格式),在 FPGA 运行时通过数据文件给 ROM 模块初始化,ROM 模块的内容必须提前在数据文件中写死,无法在电路中修改。

Altera 推出的 ROM IP 核分为两种类型:单端口 ROM 和双端口 ROM;单端口 ROM 提供一个读地址端口和一个读数据端口,只能进行读操作;双端口 ROM 与单端口 ROM 类似,区别是其提供两个读地址端口和两个读数据端口,基本上可以看做两个单口 RAM 拼接而成。

 

ROM IP核的命名是有规则的,格式:rom_width×depth;比如位宽为8,深度为256的ROM,生成的ROM IP核名称应该为 rom_8×256.

 //.mif文件

ROM 作为只读存储器,在进行 IP 核设置时需要指定初始化文件,即写入存储器中的 图片数据,图片要以规定的格式才能正确写入 ROM,这种格式就是.mif 文件。下图为.mif文件内部信息分析

.mif文件名称也应该和ip核名称类似,rom_width×depth.mif 

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值