更改ModelSim显示波形为白底黑字,用于放论文仿真截图

问题

在写论文放仿真截图时,直接放黑绿配色的图片不太合适。

需要进行一些设置。

解决步骤:

ModelSim运行页面tools-edit preferences-wave windows页面更改参数。

1、  设置波形背景窗口为白色:waveBackground  ->  白色
2、  设置左侧信号名称背景窗口为白色:Background  ->  白色
3、  设置左侧信号名称文字颜色为黑色:foreground -> 黑色
4、  设置时间指示颜色为黑色:timeColor–>黑色
5、  设置向量(多位宽信号)波形颜色为黑色:vectorColor -> 黑色
6、  设置所有逻辑波形(单bit信号)颜色为黑色:LOGIC_0和LOGIC_1->黑色
7、  设置波形中显示的文字颜色为黑色:textColor -> 黑色
8、  设置竖格颜色为灰色(GRAY70):gridColoR –>灰色

结果

  • 4
    点赞
  • 29
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
ModelSim是一款常用的仿真工具,它可以根据设计文件和仿真文件生成波形图。波形图是用来观察和判断设计的代码功能是否正确的。在进行功能仿真时,需要准备以下文件:设计HDL源代码、测试激励代码(也称为TestBench)和仿真模型/库。设计HDL源代码可以使用VHDL语言或Verilog语言编写,而测试激励代码则是根据设计要求编写的激励程序,具有很大的灵活性。仿真模型/库根据设计中调用的器件供应商提供的模块而定,例如FIFO、ADD_SUB等。 ModelSim仿真分为前仿真和后仿真两种。前仿真是纯粹的功能仿真用于验证电路的功能是否符合设计要求,不考虑电路门延迟和线延迟。后仿真则是时序仿真,可以考虑逻辑的时延和功能,综合考虑电路的路径延迟和门延迟的影响,验证电路在一定时序条件下是否满足设计构想,并检查是否存在时序违规。对于FPGA设计来说,通常只进行前仿真(功能仿真)即可。 ModelSim的使用方式可以分为直接使用ModelSim软件进行仿真和通过其他EDA工具如Quartus II调用ModelSim进行仿真(联合仿真)。无论是手动仿真还是自动仿真,它们都遵循以下5个步骤:新建工程、编写Verilog文件和TestBench仿真文件、编译工程、启动仿真器并加载设计顶层、执行仿真。 根据您的问题描述,我了解到您想了解ModelSim仿真波形中的两条红线以及与VHDL语言的关系。关于这个问题,我在提供的引用中没有找到相关的信息。鉴于此,我无法给出具体的回答。如果您还有其他问题,我会很乐意帮助您解答。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

李亚有鸭梨

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值