【详解】modelsim使用技巧-波形白底黑线

在发表期刊或者论文时,我们需要夹带modelsim的仿真波形在我们的论文里,在modelsim默认模式下的波形一般是黑底绿线白字,打印出来几乎无法看清楚波形变化。本篇教大家如何设置modelsim仿真出来的波形改成白底黑线。

设置过程如下:

当仿真跑起来后,选择菜单栏的Tools>Edit Prefrences

Preferences窗口选着By Window>Wave Windows。

Wave Windows Color Scheme窗口内的变量设置如下:

(1)background 设置为White

(2)cursorColor 设置为Gray50

(3)gridColor 设置为White

(4)selectBackground 设置为Gray50

(5)waveBackground 设置为White

剩下的全部设置为black

设置完成后Apply >OK

  • 4
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值