【FPGA仿真】Matlab生成二进制、十六进制的txt数据以及Vivado读取二进制、十六进制数据并将结果以txt格式保存

Matlab 生成二进制、十六进制数据

在使用Vivado软件进行Verilog程序仿真时可能需要对模块输入仿真的数据,因此我们需要一个产生数据的方法(二进制或者十六进制的数据),Matlab软件是一个很好的工具,当然你也可以使用VS等工具。

以下分别给出了使用Matlab模拟产生二进制和十六进制数据的例子,例子仅供参考。

生成二进制数据

Fs=100;                         %采样率1ns一个点
%t=0:1/Fs:63/Fs;                 %数据时长:64个采样周期
N = 128;
n = 1:N;
t = n/Fs;
%% 生成测试信号
f1 = 10;                   
f2 = 30;                     
s1 = cos(2*pi*f1*t);    
s2 = cos(2*pi*f2*t);
signalN = 2 + s1 + s2 ;
data_before_fft = 10*signalN;  %系数放大100倍
%% 把数据写到txt里面,让fpga调用
fp = fopen('data_before_fft.txt','w');
for i = 1:N
   if(data_before_fft(i)>=0)
       temp= dec2bin(data_before_fft(i),16);
   else
       temp= dec2bin(data_before_fft(i)+2^16+1, 16);
   end
    for j=1:16
        fprintf(fp,'%s',temp(j));
    end
    fprintf(fp,'\r\n');
end
fclose(fp);
%% 绘制fft信号

plot(data_before_fft);
y = fft(data_before_fft,N);
y = abs(y);
f = n*Fs/N;
plot(f,y);

生成十六进制数据

clc;clear;close all;

format long g
 
Fs = 1000;                    % Sampling frequency
T = 1/Fs;                     % Sampling period
L = 1000;                     % Length of signal
t = (0:L-1)*T;                % Time vector
 
x1 = cos(2*pi*50*t)*(2^13);          % First row wave
%output time domain data
x1_fix = round(x1,0);    %convert to fixed signed(3.13)
x1_fix(find(x1_fix<0)) = x1_fix(find(x1_fix<0))+(2^16); 
fid0 = fopen('time_domain_cos.txt', 'wt');
fprintf(fid0, '%16x\n', x1_fix);
fid0 = fclose(fid0);

Vivado 读取二进制、十六进制数据

Vivado小白(俺本人)可能不会读取txt文本数据,以下为Verilog语言实现的txt数据读取,二进制使用的程序指令为readmemb, 而读取十六进制的程序质量为readmemh,b, h 就是代表二进制和十六进制。

$readmemb("E:/LiangWF22/FPGA_Project/FFT3/data_before_fft.txt",Time_data_I);//二进制
$readmemh("E:/LiangWF22/FPGA_Project/FFT_IFFT1/time_domain_cos.txt",  data_mem);//十六进制

Vivado保存仿真结果数据

小白刚开始时不知道如何将Vivado仿真出来的结果保存下来,而且发现网上关于FPGA的教程很少,特写下此记事,方便以后自己重新使用这些代码片。

将程序里的路径改一下和fft_abs换成你自己需要保存的变量就可以了,应该。

//保存数据
integer dout_file;
reg dout_valid;
initial begin
    //dout_file = $fopen("E:/FPGA/FFT/data.txt");
    dout_file = $fopen("E:/LiangWF22/FPGA_Project/FFT3/data.txt");
    if(dout_file==0)begin
        $display ("can not open the file!");
        $stop;
    end
end

always @(posedge clk) begin
    $fdisplay(dout_file,"%d",$signed(fft_abs));
    end

另外还可这样子保存, 网上的大佬就是厉害,向各位大佬学习。

always #10 clk = ~clk;		
integer w1_file,w2_file;
 
initial w1_file = $fopen("E:/LiangWF22/FPGA_Project/FFT_IFFT1/fft_result_real.txt","w");	
initial w2_file = $fopen("E:/LiangWF22/FPGA_Project/FFT_IFFT1/fft_result_image.txt","w");	
		
always @(posedge clk) 
begin
     if(m_axis_data_tvalid) 
     begin
	$fwrite(w1_file, "%x\n", m_axis_data_tdata_real);
	$fwrite(w2_file, "%x\n", m_axis_data_tdata_img);	
	$display("%x    ,    %x",m_axis_data_tdata_real,m_axis_data_tdata_img);
     end	
end	

Forever young,always tearful.

  • 12
    点赞
  • 68
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Vivado中,可以使用Verilog语言提供的`$readmemb`和`$readmemh`函数来读取二进制文件。这两个函数分别用于读取二进制十六进制格式数据。通过这些函数,我们可以将二进制文件中的数据读取到存储器中。 在Verilog代码中,可以使用`$readmemb`函数来读取二进制文件。该函数的用法是`$readmemb("filename", memory)`,其中"filename"是要读取文件名,memory是要读取到的存储器。类似地,使用`$readmemh`函数可以读取十六进制格式数据。 下面是一个示例的Verilog代码,展示了如何使用`$readmemb`函数来读取二进制文件: ```verilog module tb_file (); reg [3:0 memory [0:7]; initial begin $readmemb("filename.bin", memory); // 在这里可以使用读取到的数据进行后续操作 end endmodule ``` 请注意,你需要将"filename.bin"替换为你要读取二进制文件的路径和文件名。 通过使用`$readmemb`或`$readmemh`函数,你可以方便地将二进制文件中的数据读取Vivado中进行后续处理和仿真。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* [vivado仿真 文件读取和写入](https://blog.csdn.net/Master_0_/article/details/123703456)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *3* [MATLABvivado联调信号采样代码](https://download.csdn.net/download/weixin_42234520/11057564)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值