在VIVADO——SIMULATION仿真中将目标数据保存至文件

一、代码

1、以二进制格式保存数据

  相关代码展示,存储的目标变量为PRNG_val

//创建文件 handle为文件指针
    initial begin
    	handle1 = $fopen("C:/JXR/FPGA/Random/Competition/F_point/den3_period3_discard5000_128bit.txt","w");
    end
//选取相应段数据并以二进制格式存储到文件   
    always @(posedge Clk or negedge Reset_n) begin
    	if(PRNG_Data == PRNG_val)
    		;
    	else if(N_Delete>144'd5000&&N_Delete<=144'd90_0000)
    		$fdisplay(handle1," %b",PRNG_val);			   
    	else
            ;
    end
//数据订正与计数,保证不传入重复数据    
    always @(posedge Clk or negedge Reset_n) begin
       	if(PRNG_Data == PRNG_val)
       		;
       	else begin
       	   PRNG_Data<=    PRNG_val; 
       	   N_Delete <=    N_Delete+1'd1;
       	end
      end

二、相关知识

1、输出格式控制符

2、转义字符

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

喜西

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值