BRAM与URAM在verilog设计中的应用

在verilog设计过程中,应尽可能思考ram的底层硬件配置,避免导致ram资源浪费。

BRAM概述

Xilinx7系列FPGA中的块RAM可存储36 Kb的数据,可以配置为两个独立的18 Kb RAM或一个36 Kb RAM。在简单双端口模式下,每个36 Kb块RAM可以配置为64K x 1(与相邻的36 Kb块内存级联时)、32K x 1、16K x 2、8K x 4、4K x 9、2K x 18、1K x 36或512 x 72。在简单双端口模式下,每个18 Kb块RAM可以配置为16K x 1、8K x2、4K x 4、2K x 9、1K x 18或512 x 36。

拼大容量存储单元

xilinx UltraScale包含uram资源

其特点 4k x 72 ,深度和位宽固定

localparam CELL_URAM_DATA_WIDTH = 72 ;

localparam CELL_URAM_ADDR_WIDTH = 12 ;//4K

实现框图

如果考虑可扩展性,bram的位宽在设计考虑成72bit,之后拓展为uram时直接替换,也能够带来更好的资源利用率。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值