数据分配器Verilog描述

本文详细介绍了如何使用Verilog语言描述1线到4线的数据分配器,包括真值表和对应的代码实现。通过分析真值表,讨论了逻辑表达式的选择及其在FPGA实现中的重要性。
摘要由CSDN通过智能技术生成

1线~4线数据分配器

真值表

选择输入 输出
s1 s0 z0 z1 z2 z3
0 0 c 1 1 1
0 1 1 c 1 1
1 0 1 1 c 1
1 1 1 1 1 c

代码

module de_selector14(iC,iS1,iS0,oZ0,oZ1,oZ2,oZ3);
    input iC;
    input iS1;
    input iS0;
    output oZ0;
    output oZ1;
    output oZ2;
    output oZ3;
    reg oZ0,oZ1,oZ2,oZ3
  • 4
    点赞
  • 30
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值