Verilog2路选择器

项目一:2路选择器

设计端口

module mux2(

    a,

    b,

    sel,

    out

);

    input a;

    input b;

    input sel;

    output out;

    //二选一多路器。,sel=1时取a

    assign out = (sel==1)?a:b;

endmodule

仿真端

`timescale 1ns/1ns //时间精度,第第一个为单位,第二个为精度

//初始化输入与输出,用原函数与目标连接,给输入和输出赋值

module mux2_tb();

   reg s_a;

   reg s_b;

   reg sel;

   wire out;  //定义为输入型和输出型

    mux2 mux2_test( //用设计定义的函数进行连线

    .a(s_a),

    .b(s_b),

    .sel(sel),

    .out(out)

    );  //连线

initial begin    //进行给数值验证

    s_a=0;s_b=0;sel=0;

    #200;

    s_a=0;s_b=0;sel=1;

    #200;

    s_a=0;s_b=1;sel=0;

    #200;    

    s_a=0;s_b=1;sel=1;

    #200;    

     s_a=1;s_b=0;sel=0;

    #200;

     s_a=1;s_b=0;sel=1;

    #200;

     s_a=1;s_b=1;sel=0;

    #200;

     s_a=1;s_b=1;sel=1;

    #200;

  end

Endmodule

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值