systemverilog中的iff

SystemVerilog 为@事件控制加入了一个 iff 限定符。

一般的写法是这样的:

@(posedge clk iff(vld));
do_something;

 

只有在时钟上升沿并且vld的情况,才会往下走。

它产生的效果和下面的代码一样。

forever begin
	@(posedge clk);
	if(vld)
		break;
end
do_something;

还有一种写法是

forever begin
	@(posedge clk);
	if(vld)begin
		do_something;
	end
end

iff 和 if 之间的区别是:

事件表达式仅仅在 iff 之后的表达式为真时才会触发,在上面的例子中就是 vld 等于 1 的情况。注意:这个表达式只有在 vld 发生变化时计算,而不是 clk 发生变化的时候。

这样会使得 iff 比 if 效率更高,因为它作为一个线程被唤醒的概率更小。所以更推荐使用 iff 。

在《System Verilog Assertions应用指南》中,disable iff介绍如下:

 

 

  • 4
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值