verilog中事件event的用法

event变量声明为:
event var;
event触发为:->var;
捕获触发为:@(var);

10个时间单位后reset_trigger事件被触发,捕获后将reset设置一个时钟周期再触发reset_done_trigger。之后再分别设置10个周期的随机信号给enable和reset。

`timescale 1ns/100ps
module event_test;
event reset_trigger;
event reset_done_trigger;
reg clk;
reg reset;
reg enable;

initial
begin
        clk = 0;
        forever #5 clk = ~clk;
end

initial begin
 forever begin
  @(reset_trigger);
  @(negedge clk);
  reset = 1;
  @(negedge clk);
  reset = 0;
  -> reset_done_trigger;
 end
end

initial
begin
 #10 -> reset_trigger;
 @(reset_done_trigger);
 fork
  repeat (10) begin
   @(negedge clk);
   enable = $random;
  end
  repeat (10) begin
   @(negedge clk);
   reset = $random;
  end
 join
end
endmodule
  • 6
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值