Verilog中pullup(scl)和assign (weak0, weak1) scl = 1‘b1的区别

在Verilog中经常会遇到pullup、pulldown和assign (strength0, strength1),那么它们究竟有什么区别?

它们之间的区别主要是语法和驱动强度。
pullup、pulldown看做是门级原语,assign语句看做是RTL。

SystemVerilog接口不允许门级原语。如果是用来写ip,应该使用assign语句。
一些工具,像静态时序分析,期望用门级原语建模,而不是RTL,这时应该使用pullup、pulldown来代替。

pullup、pulldown默认的驱动强度是pull,assign语句默认的驱动强度是strong。

  • 1
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值