【Verilog-42】Verilog中字符串String的用法

字符串能够用在系统任务(诸如$display和$monitor等)中作为变量,字符串的值可以像数字一样储存在寄存器中,也可以像对数字一样对字符串进行赋值,比较和拼接。

用法:
1.一条字符串不能占源代码的多行;
2.字符串可以包含下列列表中的扩展字符;

3.诸如$display和$monitor等系统任务中的打印字符串可以包含特殊的格式控制字符串,如%b。
4.当字符串存储于寄存器中,每个字符要占8位,字符以ASCII代码形式存储。Verilog HDL语言的字符串的定义和C语言不一样。在C语言中需要用而在Verilog HDL语言中不需要用ASCII代码的0字符来表示字符串的结束。
5.在表达式中使用字符串时,应注意填充位。对字符串的处理跟对数字的处理方式不一样,当字符所占的位数少于寄存器的数目时,则在字符串的左边寄存器总添加0。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值