verilog week1

verilog


1.verilog实现补码运算

1.1 补码转换规则

为了方便计算,计算机中所有的数的形式都是以补码的形式存储。

补码的转换规则如下:

1.(正数)补码=(正数)本身

2.(负数)补码=(负数非符号位)取反加一
如果我们设置数字为无符号数,那么其遵循转换规则1即可
如果我们设置数字为有符号数,那么其正数遵循转换规则1,负数遵守规则2。

1.2 补码运算规则

在计算机中补码运算规则如下:
正数+正数=>(正数)补码+(正数)补码=(正数)补码
负数+负数=>(负数)补码+(负数)补码=>(负数)补码
正数+负数=>(正数)补码+(负数)补码=>(负数)补码 or(正数)补码

1.3 代码及运算结果

在verilog中一个简单的补码运算如下:

`timescale 1ns / 1ps
module operation();
reg[7:0] a0,b0,a1,b1;
reg[7:0] result;
initial
begin
//以有符号数来表示
assign
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值