bashrc设置实例

这是一个bash配置文件,用于设置Synopsys、Cadence、Mentor和其它软件的环境变量和别名。文件中包含了各软件的安装路径、许可证文件位置以及常用工具的快捷命令,如Design Compiler、Formality、Modelsim等,方便用户快速启动相关工具。
摘要由CSDN通过智能技术生成
# .bashrc

# Source global definitions
if [ -f /etc/bashrc ]; then
	. /etc/bashrc
fi

alias lmgrd_synopsys="$SCL_HOME/linux64/bin/lmgrd -c $SNPSLMD_LICENSE_FILE"
######################################Synopsys#####################################
#Set Synopsys Directory
export Synopsys_Dir=/opt/Synopsys
#SCL
export SCL_HOME=$Synopsys_Dir/SCL11.11
#lmgrd
export PATH=$SCL_HOME/linux64/bin:$PATH
#SNPSLMD_LICENSE_FILE
export SNPSLMD_LICENSE_FILE=$Synopsys_Dir/License/License.dat

#Design Compiler
#export DC_HOME=$Synopsys_Dir/Design_Compiler2010
#export PATH=$DC_HOME/bin:$PATH
#Formality
export Formality_HOME=$Synopsys_Dir/Formality2016
export PATH=$Formality_HOME/bin:$PATH
#Hspice
export Hspice_HOME=$Synopsys_Dir/Hspice2016
export PATH=$Hspice_HOME/hspice/bin:$PATH
#ICC
export ICC_HOME=$Synopsys_Dir/ICC2016
export PATH=$ICC_HOME/bin:$PATH
#Laker
export Laker_HOME=$Synopsys_Dir/Laker_OA2015
export PATH=$Laker_HOME/bin:$PATH
#PrimeTime
export PrimeTime_HOME=$Synopsys_Dir/PrimeTime2015
export PATH=$PrimeTime_HOME/bin:$PATH
#StarRC
export StarRC_HOME=$Synopsys_Dir/StarRC2015
export PATH=$StarRC_HOME/bin:$PATH
#Synplify
export Synplify_HOME=$Synopsys_Dir/Synplify2015
export PATH=$Synplify_HOME/bin:$PATH
#VCS
export VCS_HOME=$Synopsys_Dir/VCS2014
export PATH=$VCS_HOME/bin:$PATH
#Verdi
export Verdi_HOME=$Synopsys_Dir/Verdi2015
export PATH=$Verdi_HOME/bin:$PATH

#Alias
alias dc=dc_shell
alias dv=design_vision
alias pt=primetime
alias fm=formality
alias starrc=StarXtract


######################################Cadence######################################
#Set Cadence Directory
export Cadence_Dir=/opt/Cadence
export CDS_LIC_FILE=$Cadence_Dir/License/License.dat

#####Virtuoso
export Virtuoso_HOME=$Cadence_Dir/IC617
export OA_HOME=$Virtuoso_HOME/oa_v22.50.043
#export OA_HOME=$Virtuoso_HOME/oa_v22.43.018
export CDS_AUTO_64BIT=ALL
#export CDS_Netlisting_Mode=Analog
export CDS_Netlisting_Mode=Digital
export PATH=$Virtuoso_HOME/share/bin:$PATH
export PATH=$Virtuoso_HOME/tools/bin:$PATH
export PATH=$Virtuoso_HOME/tools/dfII/bin:$PATH
export PATH=$Virtuoso_HOME/tools/dracula/bin:$PATH
export PATH=$Virtuoso_HOME/tools/iccraft/bin:$PATH
export PATH=$Virtuoso_HOME/tools/plot/bin:$PATH
export CDS_LOAD_ENV=CSF

alias vir="virtuoso&"
alias icfb="virtuoso&"

#####MMSIM
export MMSIM_HOME=$Cadence_Dir/MMSIM15
export PATH=$MMSIM_HOME/bin:$PATH
export PATH=$MMSIM_HOME/tools/bin:$PATH
export PATH=$MMSIM_HOME/tools/dfII/bin:$PATH
export PATH=$MMSIM_HOME/tools/spectre/bin:$PATH

#####Incisive
export Incisive_HOME=$Cadence_Dir/Incisive14/
export PATH=$Incisive_HOME/tools/bin:$PATH

#####RC
export RC_HOME=$Cadence_Dir/RC11
export PATH=$RC_HOME/bin:$PATH

#####Encounter
export Encounter_HOME=$Cadence_Dir/INNOVUS15
#export OA_HOME=$Encounter_HOME/oa_v22.50.028
export PATH=$Encounter_HOME/bin:$PATH
alias encounter=innovus


#####ASSURA
#export ASSURA_HOME=$Cadence_Dir/ASSURA4
#export PATH=$ASSURA_HOME/bin:$PATH

######################################Mentor#######################################
#Set Mentor Directory
export Mentor_Dir=/opt/Mentor
export MGLS_LICENSE_FILE=$Mentor_Dir/License/License.dat

#####Modelsim
export MDS_HOME=$Mentor_Dir/ModelSim/modeltech/bin:$PATH
export PATH=$Mentor_Dir/ModelSim/modeltech/linux:$PATH

#####Tessent
export DFT_HOME=$Mentor_Dir/Tessent2013/
#export DFT_HOME=$Mentor_Dir/DFT/                          #for DFT2008
export PATH=$DFT_HOME/bin:$PATH

alias dfta=dftadvisor
alias fast=fastscan
alias flex=flextest
alias bsda=bsdarchitect
alias bsdg=bsdgen

#####Calibre
export MGC_HOME=$Mentor_Dir/Calibre2015/ixl_cal_2015.4_16.11
export CALIBRE_HOME=$MGC_HOME
export PATH=$MGC_HOME/bin:$PATH
export CALIBRE_2013_4_ALLOW_IXL_ON_RHEL6=101010

######################################Concept######################################
export Concept_dir=/opt/Concept
export LM_LICENSE_FILE=$Concept_dir/License/License.dat

export PATH=$Concept_dir/Gatevision:$PATH
export PATH=$Concept_dir/RTLvision:$PATH
export PATH=$Concept_dir/SGvision:$PATH
export PATH=$Concept_dir/SpiceVision:$PATH
export PATH=$Concept_dir/Symutils/linux23.x86_64:$PATH

alias gate=gatevisionpro
alias rtl=rtlvisionpro
alias sg=sgvisionpro
alias spice=spicevisionpro


######################################KeySight######################################
#####ADS
export ADS_HOME=/opt/KeySight/ADS2015
export AGILEESOFD_LICENSE_FILE=$ADS_HOME/License/license.lic

export PATH=$ADS_HOME/bin:${PATH}
export LD_LIBRARY_PATH=$ADS_HOME/adsptolemy/lib.linux_x86:$LD_LIBRARY_PATH
export LD_LIBRARY_PATH=$ADS_HOME/lib/linux_x86:$LD_LIBRARY_PATH
export LD_LIBRARY_PATH=$ADS_HOME/adsptolemy/lib.linux_x86_64:$LD_LIBRARY_PATH
export LD_LIBRARY_PATH=$ADS_HOME/lib/linux_x86_64:$LD_LIBRARY_PATH


######################################Other Application#############################
alias pdf=/opt/Adobe/Reader/bin/acroread
alias memc=/opt/Memory_Compiler/TSMC0.65/bin/rf_sp_adv
  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值