HLS入门(Xilinx Vivado 2019.2)——点亮LED仿真

一、HLS简介

(一)什么是HLS?

HLS是一种设计方法,使用HSL可以更快地开发电子系统,特别是那些需要特定硬件加速的复杂系统。通过使用HLS,你可以用高级语言(如C或C++)编写代码,然后自动转换成硬件描述语言(HDL),从而加速开发过程。

(二)HLS能做什么?

HLS允许开发者在更高的抽象层次上工作,这意味着你可以专注于算法和功能,而不是硬件的具体细节。当你需要优化性能或功耗时,HLS工具可以自动为你找到最佳的硬件实现方案。

(三)HLS的使用

  1. 编写代码:使用C或C++等高级语言编写你的算法或系统。
  2. 配置HLS工具:设置性能目标(如速度、面积或功耗)和约束条件。
  3. 综合:HLS工具将高级代码转换成硬件描述语言(HDL),如Verilog或VHDL。
  4. 验证:检查生成的HDL代码是否符合预期的功能和性能。
  5. 实现:将HDL代码用于FPGA或ASIC的生产。

(四)HLS的优势

  • 加速开发:减少从概念到实现的时间。
  • 提高效率:自动优化可以提高系统的性能和功耗。
  • 灵活性:可以在不同的硬件平台上实现相同的高级代码。
  • 可扩展性:随着技术的发展,HLS工具可以适应新的硬件和需求。

(五)HLS与VHDL/Verilog编程技术的关系

HLS与传统的VHDL/Verilog编程技术之间存在紧密的联系,但它们在设计流程和抽象层次上有所不同:

  • 抽象层次:HLS提供了比VHDL/Verilog更高的抽象层次。开发者可以使用C/C++等高级语言来描述算法和逻辑,而不是直接使用VHDL/Verilog来设计硬件的门级或寄存器传输级(RTL)实现。

  • 设计流程:在HLS中,开发者首先用高级语言编写代码,然后通过HLS工具自动转换成VHDL或Verilog代码。相比之下,VHDL/Verilog编程需要开发者直接用这些硬件描述语言来编写代码。

  • 自动化:HLS的一个主要优势是自动化。它自动化了许多硬件设计任务,如资源分配、时序分析和优化,这在VHDL/Verilog编程中通常需要手动完成。

  • 目标一致性:尽管方法不同,但HLS和VHDL/Verilog编程的最终目标是相同的,即生成可以部署在FPGA或ASIC上的硬件设计。

  • 协同工作:HLS生成的HDL代码可以与手写的VHDL/Verilog代码结合使用,形成混合设计。这允许开发者在某些部分利用HLS的自动化优势,而在其他部分则利用VHDL/Verilog的精确控制。

(六)HLS的关键技术问题与局限性

尽管HLS提供了许多优势,但在实际应用中也存在一些关键技术问题和局限性:

  1. 语言支持:并非所有高级语言的特性都能被HLS工具完美支持,某些特性可能需要特别的处理或无法综合。
  2. 性能预测:预测HLS工具综合后的性能可能具有挑战性,因为自动化优化过程可能引入不可预见的结果。
  3. 调试难度:与传统的VHDL/Verilog相比,调试HLS生成的硬件可能更加困难,因为问题可能出现在高级代码或综合过程中。
  4. 特定领域限制:HLS可能在某些特定类型的硬件设计中表现更好,而在其他类型(如需要极端时序控制的设计)中可能不如手写VHDL/Verilog代码。
  5. 学习曲线:对于习惯于VHDL/Verilog的硬件工程师来说,学习HLS和相关工具可能需要一定的时间和努力。
  6. 工具依赖性:HLS的结果很大程度上依赖于所使用的特定工具和版本,不同的工具可能有不同的优化策略和结果。

二、点亮LED仿真

使用的是Vivado HLS 2019.2

(一)创建项目

①打开Vivado HLS,点击Create New Project
在这里插入图片描述

②输入相关工程信息
在这里插入图片描述

③选择顶层函数
在这里插入图片描述
④其他都点next,直到finish

(二)添加文件

①源文件添加
点击Source,右键后,选择New File,创建文件
led.h

#ifndef _SHIFT_LED_H_
#define _SHIFT_LED_H_

#include "ap_int.h"
#define CNT_MAX 100000000
//#define CNT_MAX 100,100M时钟频率下计数一秒钟所需要的计数次数
#define FLASH_FLAG CNT_MAX-2
// typedef int led_t;
// typedef int cnt_t;
typedef ap_int<1> led_t;
typedef ap_int<32> cnt_t;
void flash_led(led_t *led_o , led_t led_i);

#endif


led.cpp

#include "led.h"

void flash_led(led_t *led_o , led_t led_i){
#pragma HLS INTERFACE ap_vld port=led_i
#pragma HLS INTERFACE ap_ovld port=led_o
	cnt_t i;
	for(i=0;i<CNT_MAX;i++){
		if(i==FLASH_FLAG){
			*led_o = ~led_i;
		}
	}
}



②仿真测试文件添加
右键Test Bench,选择New File
tb_led.cpp

#include "led.h"
#include <stdio.h>

int main(){

	led_t led_i=0x01;
	led_t led_o;
	const int SHIFT_TIME = 4;
	int i;
	for(i=0;i<SHIFT_TIME;i++){
		flash_led(&led_o , led_i);
		led_i = led_o;
		printf("shift_out is %d \n",(int)(led_o&0x01));
	}
}



(三)仿真与综合

①点击project->project settings->synthesis->browser->选择顶层函数
在这里插入图片描述

②点击project->Run C Simulation(输出01交替,表示C仿真结果正确)
在这里插入图片描述

③点击Solution->Run C Synthesis->Active Solution
生成的总结文件(Synthesis(solution1)(flash_led_csynth.rpt))里有端口表格
以及solution1\impl\verilog中,有已经生成好的.v文件
在这里插入图片描述
在Helloworld.cpp界面,右边的Drective界面,右键led_o,进入如下界面:
在这里插入图片描述
按下图来配置后点击OK

在这里插入图片描述
然后点击联合仿真:
在这里插入图片描述
结果:
在这里插入图片描述

三、总结

此次学习了如何使用Xilinx Vivado HLS 2019.2版本来实现一个简单的LED点亮仿真项目。这个过程包括了创建项目、添加源文件、编写C/C++代码、配置HLS工具、进行仿真与综合,以及最终的验证和实现步骤。

HLS(高级综合)作为一种设计方法,它允许开发者使用高级语言(如C或C++)来编写算法或系统,然后自动将其转换成硬件描述语言(HDL),如Verilog或VHDL。这种方法的优势在于能够加速开发过程,提高效率,增加灵活性,并具备可扩展性。

四、引用

【HarrietLH】基于FPGA实现LED的闪烁——HLS
【终极末影龙】HLS入门-LED闪烁仿真

  • 30
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
视频课程源:http://xilinx.eetop.cn/category-83 目录 1 从软件工程师的角度来看 FPGA 架构 3 2 Vivado HLS的工作机制 5 3-4 HLS设计流程基本概念 9 5 任意精度数据类型 15 5.1 C语言支持的数据类型 15 5.2 sizeof()函数使用 16 5.3 设置Visual Studio支持任意精度数据类型 17 6 数组类型转换 17 6.1 变量的定义和初始化 17 6.2 定点数据类型 18 6.3 浮点数据类型的定义和初始化 19 6.4 隐式数据类型转换 19 6.5 显示数据类型转换 19 7 Vivado HLS中的复合数据类型 20 7.1 结构体 20 7.2 枚举类型 22 8 Vivado HLS中的C++基本运算 23 9 测试平台的基本架构 25 9.1 Test Bench 25 9.2 C Test Bench 26 10 测试激励 28 11 测试输出检测与格式控制 28 11.1 Scoreboard 28 11.2 输出格式控制 30 12 接口综合基本介绍 33 12.1 接口综合概述 33 12.2 block-level interface protocol和port-level interface protocol 34 13 接口综合之数组 35 14 接口综合案例演示 37 14.1 添加寄存器 37 14.2 添加时钟使能信号 38 14.3 指令优化 38 15 for循环优化-基本性能指标 40 15.1 基本衡量指标 40 15.2 for循环pipeline 41 15.3 for循环UNROLL展开 41 15.4 for循环变量i 42 16 for循环优化-循环合并 42 17 for循环优化-数据流 46 18 for循环优化-嵌套的for循环优化 54 18.1 循环嵌套类型 54 18.2 Perfect loop nest示例 55 18.3 Imperfect loop nest示例 56 19 for循环优化-其他优化方法 59 19.1 for循环的并行性 59 19.2 for循环pipeline时的rewind选项 61 19.3 for循环的循环边界是变量时处理方法 64 20 数组优化-数组分割 67 20.1 数组接口 67 20.2 数组分割 67 21 数组优化-数组映射和重组 69 21.1 数组的映射 69 21.2 数组的重组 72 21.3 综合对比 72 22 数组优化-其他优化方法 72 22.1 定义ROM 72 22.2 数组的初始化 74 23 函数层面优化 75 23.1 代码风格 75 23.2 Inline 75 23.3 Allocation 75 23.3 Dataflow 75 24 总结分析 77 24.1 改善吞吐率(Throughput) 77 24.2 改善时延(Latency) 78 24.3 改善资源(Area) 79

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值