Quartus/Verilog:移位实现不同频率的流水灯

本文详细介绍了如何使用Verilog语言设计一个移位寄存器,实现频率可调节的流水灯效果。通过精心配置时钟分频器,使得流水灯的闪烁速度可以根据需求进行调整,适用于各种应用场景。
摘要由CSDN通过智能技术生成
//该程序将用移位来实现流水灯,每次左移一个流水灯;复位时流水灯全亮,高电平有效
//三个分频,分别为亮灭灯间隔0.5s、以100HZ、10HZ频率闪烁
module LED(
	input   clk,//时钟信号
	input   rst_n,//复位信号
	input   [1:0]en,//控制分频的开关
	output reg[9:0]led
  • 2
    点赞
  • 17
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值