利用Quartus Prime实现DE2-115流水灯

FPGA(1)在DE-115上电亮流水灯


前言

  1. 什么是fpga?
    FPGA(Field Programmable Gate Array)是在PAL (可编程阵列逻辑)、GAL(通用阵列逻辑)等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点
  2. 我们需要哪些工具?
    1.DE2-115开发板DE2-115开发板 2. Quartus Prime软件

一、Quartus Prime基础步骤

  1. 建立新的设计项目
    进入主界面,选择File->New Project Wizard命令,点击next至项目界面,选择保存的位置,并输入项目名和顶层实体文件名。选择目标器件Cyclone IV E,再设置筛选条件,选择package中的FBGA,Pin count选择780,Core speed grade选择7,target选择specfic device selected 那个选项,再在最下方的devices目录里选择EP4CE115F29C7
    在这里插入图片描述再点击next至finish

  2. 输入设计文件
    File->New的design files中 选择verilog HDL Flies,输入设计文件,输入完成后保存,文件名输入waterlight.v,勾选下方的add file to current project,单击保存。

  3. 分配引脚
    手工分配引脚的流程为assignments中Pin Planner,但在分配引脚前最好进行一次全编译(progressing中的start compilation。分配引脚的方式是双击端口旁的location,再右键点击箭头,或者手动输入引脚。该例中引脚如下在这里插入图片描述
    在这里插入图片描述

  4. 编译设计文件

  5. 编辑器件,测试功能
    使用JTAG编程模式对FPGA器件编程,测试功能。首先将开发板左下角的SW19拨到上方,选择tools中的programmer,将文件导入后,确认器件名为EP4CE115F29,并确认program小方框勾选,选择mode下拉列表框中的JTAG,单击左上角Hardward Setup,在弹出的窗口中选择USB-Blaster,单击start开始

二、文件部分

1.设计管脚

代码如下(示例):

module waterlight(input En,input CP,output reg [0:7] Out);//匹配8个灯,故宽度为8
reg [25:0] cnt;
reg cnt1;
reg Cn;
parameter cnt_max = 26'd49_999_999;//时钟的频率是50mhz,要实现几秒钟变一次就调到//相应时间,例子为1s每次

2.读秒部分

代码如下(示例):

always@(posedge CP or negedge En)//该always循环实现的是一秒钟的计数
   if(En==1'b0)
 	cnt<=26'd0;
   else if(cnt == cnt_max)
	cnt<=26'd0;
   else 
	cnt<=cnt + 1'b1;
always @(posedge CP or negedge En) //cnt每次为1代表到了一秒钟
   if(En==1'b0)
 	cnt1<=1'b0;
   else if(cnt == cnt_max-1'd1)
	cnt1<=1'b1;
   else 
	cnt1<=1'b0;

利用两个循环,用cnt1代表到1s时间

3.亮灯部分

代码如下(示例):

always @(posedge CP or negedge En)
	if(En==1'b0) begin
	  if(Dn==1'b1)                  //用 Dn来判断赋不同的值,1为亮,也就可以实现两个灯一起移动的选择
 	     Out <=8'b00000001;
	  else
	     Out <=8'b00000011;
	 end
   else begin
	    if (Out[0]==1'b1)//不用判断整个Out,只需要判断最边上的1,为1则表示需要改变方向,方向由Cn判断
		      Cn<=1'b1;
		 else if(Out[7]==1'b1)
		      Cn<=1'b0;
	      if (cnt1==1'b1) begin
		      if(Cn==1'b1)
			       Out<={1'b0,Out[0:6]};//右移
			    else
			       Out<={Out[1:7],1'b0};//左移
			 end
   end


endmodule


利用两个循环,用cnt1代表到1s时间


反思和易错点

  1. 管脚位置需要到网上查询清楚,并不是呈顺序分布,可以参考其他博主的说明书
  2. 该灯可以选择两个灯一起移动,但是赋值的时候不可多次任意赋值,可能会出问题
  3. 可以使用ModelSim进行仿真
  4. 使用无阻塞的<=赋值
  • 6
    点赞
  • 32
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
### 回答1: de2-115是一款FPGA开发板,它可以用于设计和实现各种数字电路和嵌入式系统。要在de2-115实现弹球游戏,需要以下步骤: 1. 设计游戏逻辑:首先,需要设计游戏的逻辑,包括弹球的运动方向,碰撞检测,得分逻辑等。可以使用硬件描述语言(如VHDL或Verilog)来描述游戏逻辑。 2. 编写硬件描述语言代码:将游戏逻辑转换为硬件描述语言代码,并对其进行编写。使用硬件描述语言可以将游戏逻辑转化为可在FPGA实现的电路。 3. 进行仿真和验证:在将代码加载到FPGA之前,可以使用仿真工具对其进行验证。仿真工具可以模拟游戏逻辑的行为,并验证其正确性。 4. 系统集成:将已验证的代码加载到de2-115开发板上的FPGA中。这可以通过将代码编译成可以在开发板上运行的位流文件来实现。 5. 连接输入输出设备:弹球游戏需要接收玩家的输入操作(如移动弹板),并将游戏画面显示在显示屏上。可以通过连接外设(如键盘和显示器)来实现输入和输出功能。 6. 调试和优化:在加载代码到FPGA之后,可能会发现一些问题或需要进一步优化。可以使用调试工具对游戏进行调试,并根据需要进行优化,以提高性能或改进游戏体验。 综上所述,通过以上步骤可以在de2-115实现弹球游戏。这个过程需要有一定的硬件设计和编程知识,以及对FPGA开发板的理解和使用能力。 ### 回答2: de2-115是一款常用于FPGA(现场可编程门阵列)系统设计的开发板。要实现FPGA弹球游戏,我们可以用de2-115开发板上的FPGA芯片来实现游戏的逻辑和控制。 在实现弹球游戏的过程中,首先需要使用硬件描述语言(如VHDL或Verilog)编写游戏的逻辑代码。这些代码会定义游戏的规则,控制球的移动,检测碰撞和计算分数等功能。 我们可以利用de2-115开发板上的输入输出接口,连接输入的按钮或开关来控制游戏的开始、暂停、继续和重新开始等功能。同时,我们可以使用板上的LED灯来显示游戏的分数或其他重要信息。 为了让玩家能够看到游戏画面,我们可以使用开发板上的VGA接口连接一个显示器。通过编写逻辑代码,我们可以将游戏画面绘制在显示器上,并且实时更新球的位置和移动情况。 此外,我们还可以利用板上的音频接口,给游戏增加声音效果。例如,当球和挡板碰撞时,可以播放一个撞击声音,或者在游戏结束时播放一段胜利或失败的音乐。 最后,在实现完成后,我们可以通过将逻辑代码下载到de2-115开发板的FPGA芯片中,运行游戏并进行测试。如果需要的话,我们还可以进行调试和优化,以达到更好的游戏性能和用户体验。 总结来说,利用de2-115开发板上的FPGA芯片和各种输入输出接口,我们可以很方便地实现弹球游戏,包括游戏逻辑、控制、显示和音效等功能。 ### 回答3: de2-115实现FPGA弹球游戏可以按照以下步骤进行: 1. 首先,在DE2-115 FPGA开发板上进行设计,我们需要使用Quartus Prime软件来创建我们的项目。 2. 在Quartus Prime中,我们需要使用硬件描述语言(HDL)来编写我们的游戏逻辑。可以使用Verilog或VHDL进行编程。 3. 游戏的主要组成部分是球和挡板。我们需要为球和挡板设计相应的模块。 4. 对于球模块,我们需要定义球的位置、速度和移动方向。我们可以使用计时器来控制球的移动,并在碰撞检测时改变球的方向。 5. 对于挡板模块,我们需要定义挡板的位置、大小和移动方式。我们可以使用开发板上的按钮或开关来控制挡板的移动,以阻止球的移动。 6. 在游戏的主模块中,我们需要将球和挡板模块相互连接,并在FPGA开发板的显示器上显示游戏画面。我们可以使用VGA接口来连接显示器,并使用适当的时钟信号来控制帧率。 7. 在游戏的逻辑中,我们需要实现碰撞检测和分数计算等功能。当球碰到挡板时,分数会增加,并且球的方向会改变。 8. 最后,我们需要将设计好的游戏逻辑编译并烧录到FPGA开发板上,以实现游戏的运行。 通过以上步骤,我们可以在DE2-115 FPGA开发板上成功实现一个基于硬件描述语言的弹球游戏。这样的设计不仅可以提高我们对FPGA开发的理解,还可以帮助我们熟悉使用Quartus Prime软件进行FPGA开发

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值