Mt2015 lfsr、Lfsr32_HDLbits(线性反馈移位寄存器)

本文介绍了如何使用Verilog语言实现线性反馈移位寄存器(LFSR)。示例代码展示了两种不同的实现方式,一种直接在顶级模块中,另一种通过子模块实现DFF。LFSR通过前一状态的输出进行异或运算并移位,常用于生成伪随机数。
摘要由CSDN通过智能技术生成

看图写关系

module top_module (
	input [2:0] SW,      // R
	input [1:0] KEY,     // L and clk
	output [2:0] LEDR);  // Q
    always @(posedge KEY[0])
        begin 
            if(KEY[1]) 
                begin
                    LEDR[0] <= SW[0];
                    LEDR[1] <= SW[1];
                    LEDR[2] <= SW[2];
                end
            else 
                begin
                    LEDR[0] <= LEDR[2];
                    LEDR[1] <= LEDR[0];
                    LEDR[2] <= LEDR[2]^LEDR[1];
                end
        end

endmodule

//也可以构建一个子模块来描述DFF 

 module top_module(
    input clk,
    input reset,    // Active-high synchronous reset to 32'h1
    output [31:0] q
); 
    reg [6:0] i ;
    always @(posedge clk)
        begin
            if(reset) q<=1;
            else 
                begin
                    for(i=0;i<=31;i++)
                        begin
                            if(i==31) q[31]<=q[0]^0;
                            else if(i==21) q[21]<=q[22]^q[0];
                            else if(i==1) q[1]<=q[2]^q[0];
                            else if(i==0) q[0]<=q[1]^q[0];
                            else q[i]<=q[i+1];
                        end
                end
        end
endmodule

线性反馈移位寄存器(linear feedback shift register, LFSR)是指,给定前一状态的输出,将该输出的线性函数再用作输入的移位寄存器。异或运算是最常见的单比特线性函数:对寄存器的某些位进行异或操作后作为输入,再对寄存器中的各比特进行整体移位。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值