Verilog 每日一题(VL21 异步FIFO)

FIFO基本原理可见:异步FIFO基本原理(基于Verilog的简单实现)_别再出error了的博客-CSDN博客_两级同步电路

题目描述

请根据题目中给出的双口RAM代码和接口描述,实现异步FIFO,要求FIFO位宽和深度参数化可配置。

电路的接口如下图所示。

代码及详解注释如下 :

`timescale 1ns/1ns

/***************************************RAM*****************************************/
module dual_port_RAM #(parameter DEPTH = 16,
					   parameter WIDTH = 8)(
	 input wclk
	,input wenc
    ,input [$clog2(DEPTH)-1:0] waddr  //深度对2取对数,得到地址的位宽。深度d = 2^n;
	,input [WIDTH-1:0] wdata      	//数据写入
	,input rclk
	,input renc
	,input [$clog2(DEPTH)-1:0] raddr  //深度对2取对数,得到地址的位宽。
	,output reg [WIDTH-1:0] rdata 		//数据输出
);

reg [WIDTH-1:0] RAM_MEM [0:DEPTH-1];

always @(posedge wclk) begin
    if(wenc) //写操作使能信号到来时,数据写入
		RAM_MEM[waddr] <= wdata;
end 

always @(posedge rclk) begin
    if(renc) //读操作使能信号到来时,数据读出
		rdata <= RAM_MEM[raddr];
end 

endmodule  

/***************************************AFIFO*****************************************/
module asyn_fifo#(
	parameter	WIDTH = 8,
	parameter 	DEPTH = 16
)(
	input 					wclk	,    //写时钟
	input 					rclk	,    //读时钟
	input 					wrstn	,    //写异步重置
	input					rrstn	,    //读异步重置
	input 					winc	,    //写操作使能信号
	input 			 		rinc	,    //读操作使能信号
    input 		[WIDTH-1:0]	wdata	,    //写入数据

	output wire				wfull	,    //满信号
	output wire				rempty	,    //空信号
    output wire [WIDTH-1:0]	rdata        //读出数据
);
    always @(posedge wclk or posedge ) begin
        
    end
    
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
以下是使用SystemVerilog编写的预读异步FIFO代码: module async_fifo #(parameter WIDTH = 8, // 数据宽度 DEPTH = 4, // FIFO深度 PRE_READ = 1) // 预读数目 (input clk, // 时钟信号 input rst, // 复位信号 input wr_en, // 写使能信号 input rd_en, // 读使能信号 input [WIDTH-1:0] data_in, // 输入数据 output logic [WIDTH-1:0] data_out, // 输出数据 output logic full, // FIFO满标志 output logic empty); // FIFO空标志 // 内部信号定义 logic [WIDTH-1:0] fifo [0:DEPTH-1]; logic [$clog2(DEPTH)+1:0] wr_ptr, rd_ptr; logic [$clog2(DEPTH)+1:0] next_wr_ptr, next_rd_ptr; logic [$clog2(DEPTH)+1:0] pre_rd_ptr; logic [$clog2(DEPTH)+1:0] count; // 初始化 initial begin wr_ptr <= 'd0; rd_ptr <= 'd0; pre_rd_ptr <= 'd0; count <= 'd0; end // 写指针逻辑 always_ff @(posedge clk or negedge rst) begin if (!rst) begin wr_ptr <= 'd0; next_wr_ptr <= 'd0; end else begin if (wr_en && !full) begin next_wr_ptr <= wr_ptr + 1; end else begin next_wr_ptr <= wr_ptr; end wr_ptr <= next_wr_ptr; end end // 读指针逻辑 always_ff @(posedge clk or negedge rst) begin if (!rst) begin rd_ptr <= 'd0; next_rd_ptr <= 'd0; end else begin if (rd_en && !empty) begin next_rd_ptr <= rd_ptr + 1; end else begin next_rd_ptr <= rd_ptr; end rd_ptr <= next_rd_ptr; end end // 预读指针逻辑 always_ff @(posedge clk or negedge rst) begin if (!rst) begin pre_rd_ptr <= 'd0; end else begin if (rd_en && !empty) begin if (pre_rd_ptr == rd_ptr) begin pre_rd_ptr <= next_rd_ptr; end end else begin pre_rd_ptr <= rd_ptr; end end end // 计数器逻辑 always_comb begin count = (wr_ptr - rd_ptr >= 0) ? wr_ptr - rd_ptr : (DEPTH + wr_ptr - rd_ptr); end // 数据写入逻辑 always_ff @(posedge clk or negedge rst) begin if (!rst) begin // 初始化 for (integer i = 0; i < DEPTH; i++) begin fifo[i] <= 'd0; end end else begin // 写入数据 if (wr_en && !full) begin fifo[wr_ptr] <= data_in; end end end // 数据输出逻辑 always_comb begin if (count == 0) begin empty = 1; end else begin empty = 0; end if (count == DEPTH) begin full = 1; end else begin full = 0; end if (count > PRE_READ) begin data_out = fifo[pre_rd_ptr]; end end endmodule

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值