VCS常用编译选项

vcs        -sverilog        //允许sv拓展编译  

             -full64            //以64位编译设计,创建64位可执行文件用于64位下的模拟

             +v2k              //编译支持Verilog2001语法

             -f  filename        //RTL文件列表

             -o xxx                      //指定编译后生成的文件名,默认simv

             -l xxx                       //编译后生成的日志

             +vcs+vcdplusion        //用于生成DVE可打开的vpd,名称为vcdplus.vpd

             -debug_all     //使能所有的debug调试功能

             -debug_pp     //功能同上,但更节约资源

             -debug           //使能DVE、Verdi调试

             -timescale    (time_unit/time_precision)    //指定时间精度

             -ntb_opts     (keywords)        //常用参数uvm,加载uvm库

             -cm        line|cond|fsm|tgl|branch|assert     //覆盖率命令  行|条件|状态机|01跳变|路径|断言

             -cm_name xxx         //生成覆盖率的名字 //覆盖率命令的三个选项需存在编译与仿真

             -cm_dir xxx             //指定覆盖率放置路径
 

  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值