Vivado DDS IP核使用、仿真、多相处理和相关计算验证

第一次学,查询了很多CSDN网页,也问了组里的技术专家,得到了很多指导。把我的个人学习笔记在这里保存记录分享,如果有问题欢迎大家批评指正。也欢迎大家点赞评论收藏,一起进步!

1 DDS IP核的配置

创建工程,在IP核配置页面选择DDS compiler 6.0。对IP核进行配置。

如图所示配置参数。

Configuration(配置)页面,Configuration Options 有三个选项,分别是相位产生器和SIN COS查找表、单独的相位产生器、单独的sin/cos,一般选择第一个,利用IP核生成一个频率相位可调的正余弦发生器。

将System Clock系统时钟设置为250MHz,Number of Channels通道数设为1,Parameter Selection(参数选择)主要分为Hardware Parameter 和 System Parameter两种。

在System Parameter模式下,配置页面需要配置系统参数设置。共有Spurious Free Dynamic Range(SFDR)、Frequency Resolution(频率分辨率)、Noise Shaping(需不需要整形噪声选择自动模式就行)三项。其中SFDR与输出数据位宽相关,见手册表格。

Hardware Parameter模式下,Noise Shaping噪声整形选项一般选择None。Phase width指的是输出的相位宽度信息,output width 指的是输出的数据位宽;相位位宽选择32位,数据位宽采用16位。

Implementation页面,Phase Increment Programmability与Phase Offset Programmability,相位增量(即频率控制字)和相位偏移量(即相位控制字)控制模式选择,一般选择可编程模式,该模式下在valid有效时可对相位增量和相位偏移量进行配置;Output sin、cos、sin&cos根据需要选择即可。如图所示:

在Summary中检查配置无误:

2 单路正弦信号的产生

事实上,任何周期信号都可以分解为一系列正弦或余弦信号之和,正弦信号是关于相位的一个周期函数。相位和幅值的一一对应关系就好比存储器中地址和存储内容的关系,如果把一个周期内每个相位对应的幅度值存入存储器当中,那么对于任意频率的正弦信号,在任意时刻,只要已知相位Φ(t),也就知道地址,就可通过查表得到s(t)。

相位累加器在每个时钟脉冲输入时,把频率控制字累加一次,相位累加器的输出数据就是信号的相位,用输出的数据作为波形存储器(ROM)的相位取样地址,这样就可以把存取在波形存储器内的波形抽样值经查找表查处,完成相位到幅值的转换。频率控制字相当于Φ(t)中的2πfc,相位控制字相当于Φ(t)中的θ0。

由于相位累加器字长的限制,相位累加器累加到一定值后,其输出将会溢出,这样波形存储器的地址就会循环一次,即意味着输出波形循环一周。故改变频率控制字即相位增量,就可以改变相位累加器的溢出时间,在时钟频率不变的条件下就可以改变输出频率。改变查表寻址的时钟频率,同样也可以改变输出波形的频率。

为了获得较高的频率分辨率,则只有增加相位累加器的字长N。

这部分参考了部分CSDN内容。

原文链接:https://blog.csdn.net/u014586651/article/details/88830115

相位累加器的字长为N,就将一个完整周期的正弦波的相位均匀分割为个离散相位。如果想将频率提高到2 = 点数变为1/2(隔一个点输出一个波形)。事实上,DDS满足:

其中,fout是输出频率,fclk是系统工作时钟的频率,FTW是频率控制字,N是相位累加器的位数。对于一个IP核生成一路信号,其满足:

其中,fout为输出频率,fclk为输入ip的时钟。Δθ为相位增量(频率控制字),Bθ(n)为频率精度位数、输出相位数据的宽度(Phase Width),计算公式满足:

其中Δf为频率精度,对应IP核中Frequncy Resolution。

相位控制字满足:

其中θ为相位偏移量,N为相位累加器的位数。

在IP Symbol中检查IP核的端口,对IP核进行配置和例化。

代码如下所示:

module simu(
  );
  
  reg clk;
  reg [63:0]pinc_sin;
  reg en_pinc;
  
  initial begin
  pinc_sin={32'd715827883,32'h0fffffff};
  en_pinc=1; //必须为1才有效
  clk=0;
  end
  
  always #2 clk=~clk;
  
  wire [15:0]dout_dds;
  wire data_tvalid;
  
dds_compiler_0 name(
    .aclk(clk),
    .s_axis_config_tvalid(en_pinc),//控制字有效信号,为1时控制字才有用。
    .s_axis_config_tdata(pinc_sin),
    .m_axis_data_tvalid(data_tvalid),
    .m_axis_data_tdata(dout_dds));

endmodule

其中,pinc_sin采用位拼接的方式配置频率控制字和相位控制字,本实验初相偏差π/3,根据相位控制字计算公式,poff=(π/3)*2^32/2π= 32'd715827883,频率控制字选择32'h0fffffff,仿真可以输出正弦波形。

3 多相DDS

在第二节中已经实现了输出250MHz的正弦波形,现在将使用多相处理,用四路250MHz的正弦波形构建一个1GHz的正弦波形。

基本原理如上图所示,在一个上升沿内并行产生四个相位,再进行相应的相幅转换。图中1-4分别代表四路信号。由图可知,对于一路信号而言,其频率控制字FTW’=4FTW,每一路信号之间有一个FTW的相位差。

配置四个与第二节完全相同的DDS核:

simu代码如下所示:

module simu(

  );



  reg clk;

  reg [63:0]pinc_sin_0;

  reg [63:0]pinc_sin_1;

  reg [63:0]pinc_sin_2;

  reg [63:0]pinc_sin_3;



  reg en_pinc_0;

  reg en_pinc_1;

  reg en_pinc_2;

  reg en_pinc_3;



  reg [15:0]q0;



  //存储波形数据输出为csv文件

  integer file_0;

  integer file_1;

  integer file_2;

  integer file_3;

    



  initial begin

  //对四个dds赋值,每个间隔dds相差FTW。频率控制字FTW'=4FTW

  pinc_sin_0={32'd715827883,32'h03fffffc};  
  //h0fffffff=d268435455;h00ffffff=16777215;h03fffffc=d67108860

  pinc_sin_1={32'd732605098,32'h03fffffc};

  pinc_sin_2={32'd749382313,32'h03fffffc};

  pinc_sin_3={32'd766159528,32'h03fffffc};



  en_pinc_0=16'd1;

  en_pinc_1=16'd1;

  en_pinc_2=16'd1;

  en_pinc_3=16'd1; //必须为1才有效



  clk=16'd0;

  q0=16'd0;



  file_0=$fopen("E:/study2022/dds2/data_0.csv","w");

  file_1=$fopen("E:/study2022/dds2/data_1.csv","w");

  file_2=$fopen("E:/study2022/dds2/data_2.csv","w");

  file_3=$fopen("E:/study2022/dds2/data_3.csv","w");

  end



  always #2 clk=~clk;



  wire [15:0]dout_dds_0;

  wire [15:0]dout_dds_1;

  wire [15:0]dout_dds_2;

  wire [15:0]dout_dds_3;

  wire data_tvalid_0;

  wire data_tvalid_1;

  wire data_tvalid_2;

  wire data_tvalid_3;



   always @(posedge clk)begin

    if(q0 < 16'd4000)begin

        $fwrite(file_0,"%h\n",dout_dds_0);

        $fwrite(file_1,"%h\n",dout_dds_1);

        $fwrite(file_2,"%h\n",dout_dds_2);

        $fwrite(file_3,"%h\n",dout_dds_3);

        q0 <= q0 + 16'd1;

    end

    else begin

        q0 <= q0;

        $fclose(file_0);

        $fclose(file_1);

        $fclose(file_2);

        $fclose(file_3);

    end

  end



dds_compiler_0 name0(

    .aclk(clk),

    .s_axis_config_tvalid(en_pinc_0),     //相位控制字有效信号,同。

    .s_axis_config_tdata(pinc_sin_0),

    .m_axis_data_tvalid(data_tvalid_0),

    .m_axis_data_tdata(dout_dds_0));



dds_compiler_1 name1(

    .aclk(clk),

    .s_axis_config_tvalid(en_pinc_1),     //相位控制字有效信号,同。

    .s_axis_config_tdata(pinc_sin_1),

    .m_axis_data_tvalid(data_tvalid_1),

    .m_axis_data_tdata(dout_dds_1));



dds_compiler_2 name2(

    .aclk(clk),

    .s_axis_config_tvalid(en_pinc_2),     //相位控制字有效信号,同。

    .s_axis_config_tdata(pinc_sin_2),

    .m_axis_data_tvalid(data_tvalid_2),

    .m_axis_data_tdata(dout_dds_2));

   

dds_compiler_3 name3(

    .aclk(clk),

    .s_axis_config_tvalid(en_pinc_3),     //相位控制字有效信号,同。

    .s_axis_config_tdata(pinc_sin_3),

    .m_axis_data_tvalid(data_tvalid_3),

    .m_axis_data_tdata(dout_dds_3));  

Endmodule

代码中对频率控制字、相位控制字进行了相关配置,并将输出的四路信号的相关点的数据存为csv文件。

实验波形图如图所示:

计算验证一下,FTW’=4TFW=67108860,fclk=250*10^6,N=32。

理论上的fout=FTW’*fclk/2^N=67108860*250*10^6/2^32=3.9062*10^6Hz。

观察波形图,输出信号周期为500ns-244ns=256ns,fout=1/256ns=3.9062*10^6Hz,相吻合,频率控制字设置无误。

相位初相能观察到π/3的初相,不同信号之间能观察到一个FTW的相差,频率控制字设置无误。

将保存的信号csv文件导入Matlab,对其进行交叉拼接处理,并绘制拼好后的正弦数组的频谱图。

相关Matlab代码如下所示:

%读取波形数值

%num_0 = xlsread('E:/study2022/dds/data_0.xlsx',1,'B1:B511')

%num_1 = xlsread('E:/study2022/dds/data_1.xlsx',1,'B1:B511')

%num_2 = xlsread('E:/study2022/dds/data_2.xlsx',1,'B1:B511')

%num_3 = xlsread('E:/study2022/dds/data_3.xlsx',1,'B1:B511')



%16进制转化为10进制数

data_0=hex2dec(data0)

data_1=hex2dec(data1)

data_2=hex2dec(data2)

data_3=hex2dec(data3)



%交叉合并(拼接)

merge=[data_0'

      data_1'

      data_2'

      data_3'];

merge=reshape(merge,[],1); %[]=length(data_0)+length(data_1)+length(data_2)+length(data_3)



%把矩阵merge转化为csv文件

csvwrite('E:/study2022/dds2/merge.csv',merge);



%画图

for i=1:15960

    if merge(i)>32768

        merge(i)=merge(i)-65536;  %将

    else

    i=i+1;

    end

end

plot(merge)



%对画出的图像做FFT观察频谱

ff=20*log10(abs(fft(merge(1:15960))));

%ff2=abs(fft(merge(1:15960)));



plot(ff)

%plot(ff2)

其中,读取波形数值部分可以用MATLAB自带的导入工具:

绘图结果如下图所示:

 

N个采样点经过FFT变换后得到N个点的以复数形式记录的FFT结果。

假设采样频率为Fs,采样点数为N。那么FFT运算的结果就是N个复数(或N个点),每一个复数就对应着一个频率值以及该频率信号的幅值和相位。

第一个点对应的频率为0Hz(即直流分量),最后一个点N的下一个点对应采样频率Fs。其中任意一个采样点n所代表的信号频率:Fn=(n-1)*Fs/N。

对实验数据进行验证,理论上输出频率为fout=3.9062MHz,根据图可观察后计算,真实输出频率fout=10^6*63/16000=3.9375MHz,考虑到绘图误差,数据在可控范围内,整体实验成功。

  • 18
    点赞
  • 152
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
Vivado是一款由Xilinx开发的集成电路设计工具,用于设计和实现FPGA和SoC。DDS IP核Vivado中的一个IP核,用于生成数字频率合成器。根据引用\[2\]中的代码,可以看出DDS IP核的配置是通过控制字来实现的。控制字的值决定了输出信号的频率和相位。在引用\[3\]中的tb文件中,可以看到控制字的值被设置为66和6666,这将影响DDS IP核生成的输出信号的频率。通过修改控制字的值,可以实现不同的频率输出。在Vivado使用DDS IP核,需要将IP核添加到设计中,并根据需求配置IP核的参数,如控制字的值、时钟频率等。然后,将设计综合、实现和生成比特流文件,最后下载到目标设备中进行验证和测试。 #### 引用[.reference_title] - *1* *2* [Vivado DDS IP核使用仿真多相处理相关计算验证](https://blog.csdn.net/Popplio/article/details/126711613)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [FPGA自学笔记--DDS ip核使用vivado)](https://blog.csdn.net/lgk1996/article/details/123245246)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^control_2,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值