【UVM实战】第七章:UVM中的寄存器模型(2)简单的寄存器模型

15 篇文章 87 订阅 ¥39.90 ¥99.00

7.2.1、只有一个寄存器的寄存器模型

本节为7.1.1节所示的DUT建立寄存器模型。 这个DUT非常简单, 它只有一个寄存器invert。 要为其建造寄存器模型, 首先要从uvm_reg派生一个invert类:

代码清单 7-7

文件: src/ch7/section7.2/reg_model.sv
class reg_invert extends uvm_reg;

    rand uvm_reg_field reg_data;

    virtual function void
  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

ReCclay

如果觉得不错,不妨请我喝杯咖啡

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值