FPGA之道(19)以FPGA为核心的开发板制作

文章目录

前言

学习FPGA的初期,我们习惯到网上买一款FPGA开发板,这是新手学习FPGA开发的最佳途径,负责任的开发板制作者会提供相应的教程供练习,毕竟能动手操作上板子才是真正玩过FPGA,纸上谈兵始终是难以成长起来的。
但在高校或者研究所等场合更多的是跟着负责人或者导师自己制作FPGA开发板以适应项目需求。
上面提供的两种方式也是FPGA开发的两种情况。
下面摘自《FPGA之道》来了解作者对这一内容的阐述。

开发板制作

在开始实际调试FPGA设计之前,可能还有一个环节的工作,那就是开发板制作。
以FPGA为主的系统,研发情况无非有两种:

  • 一种是已经有了硬件电路板,需要利用该板子的具体硬件条件并以板载的FPGA芯片为载体进行FPGA项目的开发;
  • 另一种是先完成FPGA设计的所有算法及验证工作,然后根据FPGA设计的资源使用情况以及系统成本、性能等方面的需求选择一款合适的FPGA芯片,并以此为核心制作开发板。
    如果要做产品开发,那么通常是第二种情况,因此在这里,我们也简要提一下基于FPGA的开发板制作环节。
  1. 先说芯片选型,其中最重要的就是FPGA芯片的选型工作。除了之前提到的资源、成本、性能等环节,选择合适的FPGA封装也是很重要的。任何电路系统都离不开电源的供给,所以电压转换芯片也是电路中必备的。可能还需要一些存储芯片作为FPGA外部的大规模缓存,一些AD或者DA芯片作为FPGA与外界交互的媒介等等,具体的需要根据系统的需求而定。
  2. 再说原理图的绘制。FPGA芯片的一些必备外围电路不能少,例如I/O端口连接、不同BANK的不同供电策略、内核电源供给、JTAG接
iCESugariCESugar介绍 芯片规格 硬件说明iCE40UP5K iCELink 资源下载 开发环境搭建 视频教程 FPGA教程 参考 iCESugar 介绍iCESugar 是MuseLab基于Lattice iCE40UP5k设计的开源FPGA开发板开发板小巧精致,资源丰富,板载RGB LED,Switch,TYPE-C-USB, Micro-USB,大部分IO以标准PMOD接口引出,可与标准PMOD外设进行对接,方便日常的开发使用。 板载的调试器iCELink经过精心设计,支持拖拽烧录,用户只需将综合出的FPGA bitstream文件拖拽至虚拟U盘中,即可实现烧录。iCELink亦支持虚拟串口以和FPGA进行通信,同时引出JTAG接口,方便用户对FPGA上实现的SoC进行调试。 Lattice的iCE40系列芯片在国外的开源创客社区中拥有大量拥趸,其所有的开发软件环境亦均为开源。一般来说,假若您使用Xilinx或者Altera系列的开发板,您需要安装复杂臃肿的IDE开发环境(而且一般为盗版,使用存在一定法律风险), 在未开始开发前,首先还先需要学会如何操作其复杂的IDE。 iCE40则使用完全开源的工具链进行开发,包括FPGA综合(yosys),布线(arachne-pnr & nextpnr), 打包烧录(icestorm),编译(gcc),只需在Linux下输入数条命令,即可将整套工具链轻松安装,随后即可开始您的FPGA之旅,而且这一切都是开源的,您可仔细研究整个过程中任何一个细节的实现,非常适合个人研究学习,对于有丰富经验的开发者,亦可用来作为快速的逻辑验证平台。典型的基于iCE40系列的开源开发板有iCEBreaker、UPduino、BlackIce、iCEstick、TinyFPGA 等,社区中拥有丰富的demo可用于验证测试,或者作为自己开发学习的参考。 芯片规格iCE40UP5K-SG485280 Logic Cells (4-LUT + Carry + FF) 128 KBit Dual-Port Block RAM 1 MBit (128 KB) Single-Port RAM PLL, Two SPI and two I2C hard IPs Two internal oscillators (10 kHz and 48 MHz) 8 DSPs (16x16 multiply + 32 bit accumulate) 3x 24mA drive and 3x hard PWM IP 硬件规格iCE40UP5kSPI Flash使用W25Q64(8MB) 板载拨码开关和RGB LED可用于测试 所有IO以标准PMOD接口引出,可用于开发调试 iCELinkiCESugar实现了一个板载的调试器iCELink,您可仅用一根USB线便可实现FPGA的烧录和调试,具体功能说明如下: 拖拽烧录,将综合布线打包生成的bin文件(一般称之为配置或者逻辑)拖拽到iCELink的虚拟U盘中即可实现烧录 虚拟串口,可用于和FPGA直接数据的发送接收 支持JTAG, 可对FPGA上实现的SoC进行调试 通过MCO输出12Mhz时钟,作为FPGA的外部时钟 虚拟机镜像链接:https://pan.baidu.com/s/1qVSdwM7DnFbaS0xdqsPNrA提取码:6gn3 user: ubuntu passwd: ubuntu 所有环境包括综合(yosys),布线(nextpnr),打包(icesorm),编译器(gcc) 已经预制好,启动即可开始使用。 开发环境搭建推荐使用虚拟机镜像进行开发测试,简单方便。 FPGA工具链安装请参考icestorm gcc工具链安装请参考riscv-gnu-toolchain icesprog是为iCESugar开发的命令行烧写工具,仓库中已经提供,依赖libusb和hidapi,若自行搭建环境需要安装依赖的库 $sudo apt-get install libhidapi-dev $sudo apt-get install libusb-1.0-0-dev 视频教程开源FPGA开发板-硬件介绍 开源FPGA开发板-开发环境搭建 开源FPGA开发板-RISC-V SoC烧录演示 FPGA教程强烈推荐学习此教程,open-fpga-verilog-tutorialsrc/basic/open-fpga-verilog-tutorial目录中有对应的例程 参考icestorm toolchainhttp://www.clifford.at/icestorm/ examplehttps://github.com/damdoy/ice40_ultraplus_ex
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

李锐博恩

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值