【FPGA】单端口RAM的设计(同步读、同步写)

Single Port RAM  Synchronous Read/Write

这篇博文介绍单端口同步读写RAM,在之前的博文中,也介绍过类似的设计:【Verilog HDL 训练】第 13 天(存储器、SRAM)

在这篇博文中,与知识星球里的伙伴们交流,真是让我受益匪浅呀。


单端口同步读写RAM的设计没什么可描述的,代码不麻烦,看起来最为清晰:

输入输出:

//--------------Input Ports----------------------- 
input                  clk         ;
input [ADDR_WIDTH-1:0] address     ;
input                  cs          ;
input                  we          ;
input                  oe          ; 

//--------------Inout Ports----------------------- 
inout [DATA_WIDTH-1:0]  data       ;

对RAM的读写相对于时钟clk同步,当输入条件(cs、we、oe)满足的情况下,对inout端口 data同步读写。


给出Verilog HDL描述文件:

`timescale 1ns / 1ps
//
// Engineer: Jiashan L
评论 8
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

李锐博恩

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值