自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(27)
  • 资源 (5)
  • 收藏
  • 关注

原创 工具使用篇 Vivado加载ltx文件

Vivado加载ltx文件

2022-04-08 06:13:59 11201

原创 【小知识】关于时钟的MMCME_ADV原语的探讨

我们这些菜鸟都是使用IP配参生成调用文件,只有高手级别才会使用时钟MMCM原语,就像自己玩linux用GUI,高手玩linux自己搭环境。xilinx时钟IP使用参考官方文档http://www.xilinx.com/support/documentation/ip_documentation/clk_wiz/v6_0/pg065-clk-wiz.pdfhttp://www.xilinx.com/support/documentation/ip_documentation/clk_wiz/v6_0/pg

2022-03-18 12:25:51 5144 8

原创 ##闲话##

#20220222 又是热搜的一天,老多人都关注了2,0只做了锃光瓦亮的灯泡????????????

2022-02-22 09:17:11 239

原创 xilinx基础篇Ⅱ(13)Prj9 芯片驱动:TLC549的使用

1.芯片简介:TLC549是一种低价位、高性能的8位 A/D转换器,采用了CMOS工艺,它以8位开关电容逐次逼近的方法实现 A/D转换,可与通用微处理器、控制器通过CLK、CS、DATAOUT三条口线进行串行接口。具有4MHz片内系统时钟和软、硬件控制电路,转换时间最长17μs,TLC549为40000次/s。总失调误差最大为±0.5LSB,典型功耗值为6mW。片内系统时钟与I/O CLOCK是独立工作的,无须特殊的速度或相位匹配。采用差分参考电压高阻输入,抗干扰,可按比例量程校准转换范围,VREF-接

2022-02-20 22:32:12 2572

原创 xilinx基础篇Ⅱ(12)Prj8 芯片驱动:TLC5620的使用

1.芯片简介:TLC5620是一个内部具备4个独立 8位电压输出型数字-模拟转换器,每个DAC转换器都拥有一个带缓冲(高输入阻抗)的参考电压输入端口。每个DAC可以输出Vref x1或者Vref x2的参考电压与GND之间的电压值。资料详见:https://www.ti.com.cn/cn/lit/ds/symlink/tlc5620.pdf?ts=1645167716540&ref_url=https%253A%252F%252Fwww.ti.com.cn%252Fproduct%252F

2022-02-19 11:25:13 1251

原创 xilinx基础篇Ⅱ(11)Prj7 XADC的IP使用

IP配置:DRP接口,Continuous Sequence 连续序列模式,100MHz驱动时钟,只监测内核电压温度IP端口:IP实例化://----------- Begin Cut here for INSTANTIATION Template ---// INST_TAGxadc_wiz your_instance_name ( .di_in(di_in), // input.

2022-02-16 16:17:04 2647

原创 xilinx基础篇Ⅱ(10)Prj6 Block Memory的IP的使用(BMG IP)

RAM的IP在vivado中有很多种,此处以BMG(全称Block Memory Generator,即块 RAM生成器 )为例生成的Block器件如下所示,有时钟clka、地址addra、数据输入端dina、数据输出端douta、器件使能端ena和器件写入使能端wea;该端口被称为PORTA,即ena就是PORTA端口的总开关。RAM的使用,就是找到地址,并在该地址进行读/写操作; //读RAM操作 always@(posedge sys_clk o...

2021-12-12 18:23:12 2432

原创 工具使用篇 Candence导出PCB的可搜索定位的pdf格式

使用Candence导出PCB图纸为pdf

2021-12-05 16:36:43 2475

原创 xilinx基础篇Ⅱ(9)Prj5 ILA的使用

本例使用PLL产生多个时钟,通过ila观察所产生的各时钟频率是否符合设计;仿真是理想化的模型,将程序加载到IC中通过ila监测实际状况会更贴切实际应用。这里使用时钟信号完成ila监测试验,除了“懒”,还有常犯的错——飚车虽然嗨,超速总被拍...1.设置PLL输出的多时钟Vivado的官方IP查找操作一致,此处忽略,通过PLL生成多个不同频率时钟,各时钟概况如下:2.ILA配置3.ILA接入电路//// clk_gen.v//...

2021-12-04 00:02:19 2539 1

原创 xilinx基础篇Ⅱ(8)Prj4 按键控制LED闪烁效果

1.目的本节实验目的是按键键入次数切换闪烁模式;2.FPGA芯片型号xc7z020clg400-2//// key_led.v//module key_led( input sys_clk , input sys_rst_n , input key , output reg [1:0] led);reg [24:0] clk_cnt;reg [1:0]

2021-12-02 23:27:25 357

原创 xilinx基础篇Ⅱ(7)Prj3 LED闪烁效果

1.目的时钟分频电路,使用led闪烁直观表现2.FPGA芯片型号xc7z020clg400-2//// clk_div.v////时钟分频module clk_div ( input clk_i, input rstn_i, output clk_o ); parameter CLK_IN_FREQ = 50000000; //单位 Hz, 例如50MHz parameter CLK_OUT_FREQ

2021-12-02 22:54:39 194

原创 xilinx基础篇Ⅰ(9)PLL应用

1.PLL调用2.PLL配置3.生成的可调用文件和可调用的例化PLL例化如下//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG clock_wized instance_name (// Clock in ports .CLK_IN1(CLK_IN1), // IN // Clock out ports ...

2021-12-01 08:50:45 863 2

原创 xilinx基础篇Ⅰ(7)ISE14.7开发基础流程 [CPLD章节]

1.打开软件找到图标并双击打开2.新建工程点击新建工程设置新建工程名及路径,并next选择芯片型号,此处使用的是xilinx CPLD,型号为XC2C256-7VQ100,根据应用型号设置,并next确认设置并Finish以上步骤,工程建立完成。3.新建/添加源程序文件新建选择New Source...,此处选择新建选择新建文件类型并命名文件,此处选择Verilog类型,完成后点击Next点击N...

2021-11-23 13:28:46 3066

原创 xilinx基础篇Ⅰ(8)ISE14.7下载CPLD

由于xilinx后续集中开发vivado,ISE更新到14.7就不再更新,所以ISE的局限性在于,不再支持7系列FPGA芯片以及新生代ZYNQ芯片,仅支持6系列或者部分初代7系列以及CPLD;本节讲CPLD程序的的下载,CPLD结构与FPGA不同,它有掉电不易失去的存储结构;因此可以直接将文件固化到CPLD中。1.硬件连接PCB硬件烧录端口如下其对应关系如下表 烧录CPLD 板子(J15) 仿真器 1...

2021-11-23 12:41:52 4773

原创 xilinx基础篇Ⅱ(6)Prj2 LED呼吸灯效果

1.目的本节实验目的是板上的LED0由亮渐暗,再由暗渐亮的呼吸灯效果;其原理是根据电压驱动上实际是平均电压的大小,脉冲的不同占空比能达到该效果。2.FPGA芯片型号XC7A35TFGG4843.硬件设计4.软件设计`timescale 1ns / 1psmodule prj_top ( input sys_clk , //时钟50Mhz input sys_rst_n , //复位信号 outpu...

2021-11-21 17:08:27 506

原创 xilinx基础篇Ⅱ(5)Prj1 触摸键驱动LED

实验任务本节实验任务是板上的触摸键的检测,并驱动LED灯。FPGA芯片型号XC7A35TFGG484硬件设计AR101的使用(https://www.docin.com/p-972138456.html),如下描述,当前电路连接方式为输出高电平有效、同步模式。 信号名 方向 管脚 端口说明 电平标准 sys_clk ...

2021-11-21 16:47:59 3097

原创 xilinx基础篇Ⅱ(4)生产MCS或BIN文件

生成MCS或者bin文件,是为了将FPGA程序固化到存储中,如Nor Flash芯片(如MT25QU256、S29GL256等);此章节以MT25QU256为例。1.硬件上FPGA外挂存储芯片(如MT25QU256),且待固化驱动已生成bit文件2.在Tools/Generate Memory...打开生成固化文件的设置界面3.选择需要生成的固化文件格式4.选择对应芯片型号5.生成MCS格式文件设置6.生成BIN文件格式设置...

2021-11-21 16:03:59 2704

原创 xilinx基础篇Ⅰ(6)ISE14.7生成固化文件(.mcs)

之前讲过,固化FPGA,实际是将程序固化在FPGA外挂的Flash或者EEPROM等存储设备中;因此,本节在生成固化文件前,需要知晓草纸对象的状况。以本开发板为例,由原理图得,挂载在FPGA的存储芯片为SPI接口的Flash芯片,型号为M25P16,查询器件手册得知,该器件存储大小为16M;1.打开ISE的iMPACT,选择Launch Wizard2. 弹窗选择Prepare a PROM File项,点击OK3.按以下箭头指向进行顺序配置烧录对象信息,...

2021-10-17 23:35:08 6265

原创 xilinx基础篇Ⅰ(5)ISE14.7开发基础流程 [FPGA章节]

硬件电路:黑金开发板(xilinx-S6)目的:点灯1.驱动对象led灯:简单的开(1)关(0)逻辑2.驱动管脚驱动逻辑:高(1)点亮,低(0)熄灭3.程序驱动module led_driver( input sys_clk, //50Mhz , 20ns output led0, output led1, output led2, output led3);reg [19:0] cnt = 'd0;r...

2021-10-17 22:47:25 1730

原创 xilinx基础篇Ⅱ(3)IBERT IP 使用

1.新建工程,选好芯片型号2. 选择IP核3. IP核设置速度设置3.125G,时钟125MHz4. 结合硬件设计,硬件引脚设置配置IP引脚配置IP时钟 5. IP配置概况6. 将已设置好的IP生成例程7. 生成bit文件8. bit文件生成完成,会弹窗如下,如果界面选项不需要,直接点击cancel...

2021-10-14 22:31:12 838

原创 xilinx基础篇Ⅱ(2)vivado2017.4软件使用

本节为基础使用流程。1. 打开软件,选择新建工程2.确认创建新工程3. 选择创建工程名及路径4.选择创建工程类型,一般选择RTL5.选择FPGA芯片型号6. 以下为工程概况,其中框中为选择的芯片型号,点击finish7. 添加Xilinx官方IP核(此处强调为官方IP,是因为IP也可以用户自定义内容后封装成IP,后期会讲)8.选择需要的IP,如下为IBERT的IP核9. IP核...

2021-10-14 22:22:17 1462

原创 xilinx基础篇Ⅱ(1)vivado2016.4软件安装

1. 双击xsetup.exe开始安装2. 欢迎界面后单击NEXT3. 全部同意,全勾选并NEXT4. 选择安装Vivado HL System Edition并NEXT(这个版本组件最多,因此功能最全)5. 勾选上SDK(后面写软核时会用),其他默认,然后NEXT6. 选择安装目录,然后NEXT,进行安装安装大概需要半个多小时安装中会遇到弹窗cable驱动,点击“确定”7. 单击Manage Xi...

2021-10-14 21:58:12 5449 1

原创 工具使用篇 使用CAN适配器测试CAN总线

CAN盒使用

2021-10-13 22:04:28 7390 1

原创 xilinx基础篇Ⅰ(4)ISE14.7固化FPGA

FPGA程序固化,实际是把程序烧入FPGA的外挂Flash中,所以固化FPGA的前提是它有外挂Flash。1)接上仿真器,设备加电后,双击iMPACT。2)双击Boundary Scan,扫描JTAG链3)右击下图空白区域,选项中选择initial Chain,进行初始化链4)弹窗出现如下图界面,单击No,即取消配置,在随后出现的界面中点击Cancel5)右击芯片,选择Add SPI/BPI Flash(或者直接右击上方的蓝色字体SPI/BP.

2021-10-12 19:32:52 3412

原创 xilinx基础篇Ⅰ(3)ISE14.7下载FPGA

1. 打开IMPAC进入 ISE14.7 软件以后,我们点击 Configure Target Device 图标,进入 IMPACT 程序下载界面2. 初始化链(chain),识别芯片在 IMPACT 环境里选择 Boundary Scan, 再在窗口空白处右击选择 Initialize Chain连接正常时,JTAG 会检测到开发板上的 FPGA 芯片,(如果这时候没有检测到 FPGA 芯片,则需要检查电脑到开发板之间硬件连接是否正常,如下载器转接头是否正确连接.

2021-10-12 07:25:44 7680

原创 xilinx基础篇Ⅰ(2)下载器驱动安装

1. 驱动程序路径ISE14.7 软件安装完成后,下载器的驱动已经在 ISE 的安装目录下,路径如下,名称为install_digilent.exe 。64 位系统的用户需要安装 nt64 目录下的驱动如果是 32 位系统的用户需要安装 nt 目录下的 驱动2. 驱动程序安装1)双击 install_digilent.exe 。2)弹窗点击“是”,开始安装3)两项都选择,再点击下一步4)弹窗提示要拔掉 Xilinx USB Cable, ...

2021-10-12 07:05:31 8571

原创 xilinx基础篇Ⅰ(1)ISE14.7软件安装

话不多说,直接上...1. 软件获取略2. 运行解压文件中的xsetup.exe 应用程序3.在弹出框中选择 Next4. 同意以下两个条款(勾选),然后next5. 也同意以下条款(勾选),然后next6. 根据实际条件选择合适的版本,选中后,下方有描述框,也有空间大小需求(功能越强,占用空间越大,这里我选择system版本),然后next7. 以下是安装时伴随的附属工具,默认全选,我选默认,然后next...

2021-10-11 21:13:34 10118 11

pl2303usb_147982.rar

PL2303 USB转串口驱动

2021-12-22

SecureCRT.rar

支持SSH(SSH1和SSH2)的终端仿真程序

2021-12-22

Wireshark-v3.2.4.zip

网络抓包工具

2021-12-22

kk录像机v2.6.1.7.crack.rar

简单录像工具

2021-10-12

CANTools.rar

CAN总线测试工具

2021-06-29

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除