“11010“ 序列检测器

用有限状态机做,状态机的题主要是用模板做,三段式或者两段式,要画出正确的状态转移图

见Verilog p109

module seqdet(D_out,D_in,rst_n,clk);
    parameter IDLE = 3'd0,A = 3'd1,B = 3'd2,C = 3'd3,D = 3'd4,E = 3'd5;
    output D_out;
    input D_in,rst_n,clk;
    reg [2:0] state,next_state;
    wire D_out;

    assign D_out = (state == E)?1:0; 

    always@(state or D_in)
        case(state)
            IDLE:if(D_in) next_state = A;
                 else next_state = IDLE;
            A:if(D_in) next_state = B;
                else next_state = IDLE;
            B:if(D_in) next_state = B;
                else next_state = C;
            C:if(D_in) next_state = D;
                else next_state = IDLE;
            D:if(D_in) next_state = B;
                else next_state = E;
            E:if(D_in) next_state = IDLE;
                else next_state = A;
            default: next_state = IDLE;
        endcase

        always@(posedge clk)
            state <= next_state;

endmodule



  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值