❀工信工实验参考——《VHDL实验1——Quartus软件入门及双(单)向数据流总线的设计》

一般来说,我贴上来的代码都是能直接跑的,如果不行可以邮箱交流1902946954@qq.com

仅供参考,微机的老师讲的很好,所以请还是要先自己完成咯。
免责声明,本人菜只因一只,内容仅供参考,错了不负责哈

实验一  Quartus软件入门及单向数据流总线的设计

          点:

     31  楼

  312房;

实验台号:

实验日期与时间:

    分:

预习检查纪录:

批改教师:

报告内容:

  • 实验要求:

1. 知识点掌握

  1. 数据流设计的方法;
  2. 熟悉vhdl语言的编写;
  3. 掌握Quartus软件的使用;
  4.  掌握双向口的使用。

2. 能力培养

  1. 利用VHDL语言对硬件电路建模的能力;
  2. 利用Quartus平台进行数字系统设计的能力。

  • 实验内容:

设计一个8位位宽的二选一选择器,由使能端S控制。当S=0,A的数据赋给C;当S=1,B的值赋给C;用VHDL编程设计该二选一选择器,并观察的仿真波形结果验证二选一选择器的功能。

 图1:二选一选择器电路图

  • 实验设计原理:

选择器可以通过条件赋值语句、选择信号赋值语句、IF语句、CASE语句来实现。这里选择CASE语句,因为这里是非顺序语句。

语法为:CASE 表达式 IS

                     WHEN 选择值=>处理语句;

                     END CASE;

四、实验过程记录(流程图或者实验逻辑思路过程)

  1. 编写源代码;
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
ENTITY VHDL1_1_0 IS
PORT(A,B:IN std_logic_vector(7 DOWNTO 0);
	C:OUT std_logic_vector(7 DOWNTO 0);
	S:IN std_logic);
END VHDL1_1_0;
ARCHITECTURE behav OF VHDL1_1_0 IS
BEGIN
PROCESS(A,B,S)
BEGIN
CASE S IS
WHEN '0'=>C<=A;
WHEN '1'=>C<=B;
END CASE;
END PROCESS;
END behav;
  1. 编译并改错;

  1. 仿真波形;

图2 仿真波形图示

  1. 检查波形结果

当S=1时,C=B;当S=0时,C=A。因此结果正确。

五、实验结果和分析

实验结果:当S=1时,C=B;当S=0时,C=A。符合设计需要,能实现单向数据选择器得功能。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值