【专题教程第5期】工程调试利器RTT实时数据传输组件,替代串口调试,速度飞快,可以在中断和多任务中随意调用

说明:

1、串口作为经典的调试方式已经存在好多年了,缺点是需要一个专门的硬件接口。现在有了SEGGER的RTT(已经发布有几年了),无需占用系统额外的硬件资源,而且速度超快,是替代串口调试的绝佳方式。

2、RTT的API可以在中断和多任务环境中正常调用。并且JLINK处于MDK或者IAR的调试状态,RTT功能依然可以正常使用。最重要的是速度非常快,普通的JLINK也可以飙到几百KB/S。

3、当前版本的JLINK都支持此功能,含V8,V9和V10。

 

4、RTT Viewer小软件支持多个虚拟端口消息展示,比如用户可以一个用于标准输出,一个用于错误输出,另一个用于调试输出。根据需要还可以再增加输出窗口。

 

专题教程下载:

第5期链接:https://pan.baidu.com/s/1dao9wFEz0rmBqrbGvnLncg  密码:xbm7

 

教程目录:

 

 

RTT效果截图:

 

  • 3
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值