图形界面介绍<Save Design>

这次要介绍的GUI上的按键是Save Design, 有load当然得有save键,功能是保存当前的design,快捷键是F2。

使用方法:相比Import design要简单很多,单击Save Design,调出以下界面.

默认地save方式是OA,我们可以填入OA格式的designlib, cell, view。需要注意的是library或者view最好有一个名字与初始名字不一样。否则就会覆盖初始的DB。

我们也可以选择Innovus来save成传统的innovus db格式。指定文件的名字就可以保存当前设计了。

勾选Hierarchical DB代表着:在save含有partition的DB时,可以直接save出每个partition的data base.


  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 在Vivado中使用图形界面和TCL命令新建工程都是可以实现的。 使用图形界面创建新工程的步骤如下: 1. 打开Vivado软件,选择"Create Project",弹出"Create New Project"对话框。 2. 在对话框中,输入项目名称和存放位置,并选择项目类型(例如,RTL Project)。 3. 点击"Next"按钮,弹出"Add Existing Sources"对话框,可以添加已有的源文件,也可以在后续步骤中添加。 4. 点击"Next"按钮,弹出"Add Constraints"对话框,可以添加约束文件(如XDC文件)。 5. 点击"Next"按钮,弹出"Default Part"对话框,选择所使用的FPGA器件型号。 6. 点击"Next"按钮,弹出"New Project Summary"对话框,核对工程设置,并点击"Finish"按钮。 使用TCL命令创建新工程的步骤如下: 1. 打开Vivado软件,启动TCL Console(或者在软件的"Tools"菜单中选择"TCL Console")。 2. 使用"cd"命令切换到存放工程的目录。 3. 输入以下命令创建新工程: ``` create_project <project_name> <project_location> -part <device_part_number> ``` 其中,<project_name>代表工程名称,<project_location>代表存放位置,<device_part_number>代表FPGA器件型号。 4. 使用以下命令添加源文件: ``` add_files -fileset \<source_set\> \<source_file_path\> ``` 其中,<source_set>代表源文件集合(如RTL)的名称,<source_file_path>代表源文件的路径。 5. 使用以下命令添加约束文件: ``` add_files -fileset \<constraint_set\> \<constraint_file_path\> ``` 其中,<constraint_set>代表约束文件集合(如XDC)的名称,<constraint_file_path>代表约束文件的路径。 6. 最后,使用以下命令保存工程并退出TCL Console: ``` save_project exit ``` 以上是使用图形界面和TCL命令在Vivado中新建工程的方法,根据个人习惯和需求可以选择其中的一种方式来操作。 ### 回答2: 使用Vivado进行图形界面的Tcl新建工程非常简单。下面是一步步的指导: 1. 打开Vivado软件,进入初始界面。 2. 点击"Open Project"(打开项目)或者选择"File"(文件)菜单中的"Open Project"选项。 3. 在弹出的对话框中,选择一个项目目录,然后点击"OK"。 4. 在弹出的"New Project"(新建项目)对话框中,选择"Project"项目类型,然后点击"Next"。 5. 在下一个对话框中,输入项目的名称和位置,然后点击"Next"。 6. 在接下来的对话框中,选择你想使用的FPGA设备,并选择编程语言(如VHDL或Verilog)。 7. 点击"Next"进入下一步,在"Default Part"(默认部件)对话框中,选择你的FPGA设备(可以根据开发板或FPGA型号进行选择)。 8. 点击"Next"进入下一步,在"Add Constraints"(添加约束)对话框中,可以选择添加约束文件或在以后的项目中手动添加。 9. 点击"Next"进入下一步,在"Default Simulator Language"(默认仿真语言)对话框中,可以选择使用的仿真语言(如VHDL或Verilog)。 10. 点击"Next"进入下一步,在"New Project Summary"(新建项目概述)对话框中,查看你输入的项目信息是否正确。 11. 点击"Finish"完成项目的新建过程。 完成上述步骤后,你将会看到Vivado的图形界面中显示新建的工程。你可以在该工程中添加设计文件、约束文件以及其他必要的文件,并进行设计与仿真操作。 使用Vivado进行Tcl新建工程可以大大提高开发效率,因为可以通过脚本方式自动化完成一系列繁琐的操作,简化了项目的创建过程。通过图形界面与Tcl结合使用,您可以更好地利用Vivado的强大功能进行FPGA设计与开发。 ### 回答3: 在Vivado中使用图形界面创建新工程需要按照以下步骤进行: 1. 打开Vivado软件,在首选项菜单中选择“项目”选项卡,确保设置了正确的工程文件夹位置和工程文件夹名称。 2. 在Vivado启动页面点击"Create Project"按钮,在弹出的对话框中输入新工程的名称,并选择一个适当的工程文件夹。 3. 在"Create Project"对话框的下方,选择"RTL Project"作为项目类型,并点击"Next"按钮。 4. 在"Add Sources"对话框中,选择要添加到项目中的源代码文件。可以通过点击"Add Files"按钮来浏览并选择文件,也可以直接将文件拖放到该对话框中。一旦选择完毕,点击"Next"按钮。 5. 在"Add Constraints"对话框中,选择项目所需的约束文件。同样,可以点击"Add Files"按钮来选择文件,或者直接将文件拖放到该对话框中。完成选择后,点击"Next"按钮。 6. 在"Specify Simulation Settigs"对话框中,如果需要仿真测试设计,可以选择一个适当的仿真工具,并设置仿真选项。如果不需要仿真,则可以直接点击"Next"按钮。 7. 在"Configure Design"对话框中,可以对设计进行配置。例如,选择目标芯片型号、设置时钟频率等。完成配置后,点击"Next"按钮。 8. 在"New Project Summary"对话框中,根据需要查看或修改新工程的摘要信息,然后点击"Finish"按钮。 9. 等待Vivado完成新工程的创建过程。一旦完成,将会弹出一个提醒窗口。 通过图形界面创建新工程时,可以根据自己的需要灵活地选择、添加源文件和约束文件,并进行必要的设计配置。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值