第四周---数字电路基础与Quartus-II入门

第四周---数字电路基础与Quartus-II入门

实验目的

复习数字电路基础知识;安装Quartus-II软件,进行数字电路的仿真与验证。

安装Quartus-II 13 和Modelsim。 可参考:

https://blog.csdn.net/qq_43279579/article/details/115158140

https://blog.csdn.net/qq_43279579/article/details/115176423

原子哥教学视频:

https://www.bilibili.com/video/BV194411f7GD?p=5

在 Quartus-II 中自己用门电路设计一个D触发器,并进行仿真,时序波形验证;

参考附件1“Quartus-II输入原理图及仿真步骤.docx”。

在 Quartus-II 中直接调用一个D触发器电路,进行仿真,时序波形验证,与2做比较;

(本周选做)在 Quartus-II用Verilog语言写一个D触发器,进行仿真验证,与3做比较;

实验步骤:

1:Quartus II的下载:
参考博客:Quartus II 13.1的安装及使用

下载之后安装Quartus II:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
2:Modelsim的安装:
在这里插入图片描述

设计D触发器:

首先在Quartus-II 13新建一个工程:
在这里插入图片描述
在这里插入图片描述
之后新建一个波形文件:
在这里插入图片描述
之后选择nand2:
在这里插入图片描述
绘制电路图:
在这里插入图片描述
编译原理图文件:
在这里插入图片描述
在这里插入图片描述
之后创建vwm格式波形文件,输入激励源:
在这里插入图片描述
之后开始仿真:
在这里插入图片描述

在这里插入图片描述

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值