ncverilog使用

(现在主要使用 irun/xrun命令,可以支持Verilog和VHDL。irun/xrun支持选项如下:

  -helpall                   Display all supported option
  -helphelp                  Print out all the options controlling help
  -helpsubject <subject>     Display help on the specified subject
  -helpshowsubject           Show all the subjects for -helpsubject
  -faccess <+/-rwc>          Overrides any -access option
  -64bit                     Invoke 64bit version
  -gui                       Invoke the Graphical User Interface
  -q                         Suppress informational messages(i.e., Quiet mode)
  -f <filename>              Scan file for args relative to xrun invocation
  -elaborate                 Parse and elaborate, do NOT simulate
  -elabonly                  elaborate only, do NOT compile or simulate
  -R                         Simulate using the last xmelab generated snapshot
  -incdir <dirs>             Specify directories to search for `include files
  -v <file>                  Specify a library file to be used
  -y <directory>             Specify a library directory to be used

  • 1
    点赞
  • 26
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值