vcs或xrun直接在命令行输入命令后仿真

  1. VCS仿真
    b vcs -full64 -sverilog +vcs+lic+wait test.sv && b simv
  2. XRUN仿真
    b irun -sv -c -elaborate -acess +rwc -64bit test.sv && b irun -R
  3. 选项
    -sv:支持systemverilog
    -elaborate (-c):编译源文件,列举设计的层次,生成仿真的映射,但不仿真
    -64bit:调用64bit版本的irun
    -R:仿真irun生成的映射
    -access:设置仿真对象的访问权限
    -acess+rwc:r是读,w是写,c是连接,缺省为无读写连接

直接打开verdi加载代码和波形
verdi -f filelist -ssf top_test.fsdb
-ssf:读取波形文件

  • 1
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值