FPGA之ODDR




通过oddr把两路单端的数据合并到一路上输出 上下沿同时输出数据 上沿输出a路下沿输出b路  如果两路输入信号一路恒定为1,一路恒定为0,那么输出的信号实际上就是输入的时钟信号


ODDR

Primitive: A dedicated output register to transmit dual data rate (DDR) signals from Virtex-4 FPGAs

The ODDR primitive is a dedicated output registers to transmit dual data rate (DDR) signals from Virtex-4 FPGAs. Unlike previous generations of Xilinx FPGAs, ODDR primitive’s interface with the FPGA fabric is not limited to opposite edges. ODDR is available with modes that allow data to be presented from the FPGA fabric at the same clock edge. This feature allows designers to avoid additional timing complexities and CLB usage. In addition, IDDR will work in conjunction with Select I/O features of Xilinx Virtex-4 architecture.

ODDR Ports (Detailed Description)

Q – Data Output (DDR)

These pins are the ODDR output that connects to the IOB pad.

C – Clock Input Port

The C pin represents the clock input pin.

CE – Clock Enable Port

When asserted LOW, this port disables the output clock at port O.

D1 – D2 – Data Input

This pin is where the DDR data is presented into the ODDR module. This pin connects to the IOB pad.

R - Reset

Asynchronous reset pin. Reset is assert HIGH

R - Reset

Depends on how SRTYPE is set. See “Available Attributes” table below.

ODDR Modes

The following section describes the functionality of various modes of ODDR. These modes are set by the DDR_CLK_EDGE attribute.

OPPOSITE_EDGE

In the OPPOSITE_EDGE mode, data transmit interface uses the classic DDR methodology. Given a data and clock at pin D1-2 and C respectively, D1 will be sampled at every positive edge of clock C, and D2 will be sampled at every negative edge of clock C. Q changes every clock edge.

SAME_EDGE

In the SAME_EDGE mode, data is still transmitted by opposite edges of clock C. However, both register are clocked with positive clock edge C and an extra register has been placed in front of the D2 input data register. The extra register is clocked with negative clock edge of clock signal C. Using this feature, DDR data can now be presented into the ODDR at the same clock edge.

Port List and Definitions

Name
Type
Width
Function
Q
Output
1
Data Output (DDR)
C
Input
1
Clock input
CE
Input
1
Clock enable input
D1 – D2
Input (each)
1
Data Input
R
Input
1
Reset
S
Input
1
Set

Available Attributes
Name
Description
Possible Values
DDR_CLK_EDGE
DDR clock mode recovery mode selection
OPPOSITE_EDGE, SAME_EDGE
INIT
Q initialization value
1’b0, 1’b1
SRTYPE
Set/Reset type selection
ASYNC, SYNC
VHDL Instantiation Template
 -- ODDR: Output Double Data Rate Output Register with Set, Reset    --       and Clock Enable. Virtex-4    -- Xilinx HDL Libraries Guide version 6.3i     ODDR_inst : ODDR    generic map (       DDR_CLK_EDGE => "OPPOSITE_EDGE", -- "OPPOSITE_EDGE" or "SAME_EDGE"        INIT => '0',      -- Initial value of Q: '0' or '1'       SRTYPE => "SYNC") -- Set/Reset type: "SYNC" or "ASYNC"    port map (       Q => Q,   -- 1-bit DDR output       C => C,   -- 1-bit clock input       CE => CE, -- 1-bit clock enable input       D1 => D1, -- 1-bit data input (positive edge)       D2 => D2, -- 1-bit data input (negative edge)       R => R,   -- 1-bit reset       S => S    -- 1-bit set );      -- End of ODDR_inst instantiation 
Verilog Instantiation Template
 // ODDR: Output Double Data Rate Output Register with Set, Reset    //       and Clock Enable. Virtex-4    // Xilinx HDL Libraries Guide version 6.3i     ODDR ODDR_inst (       .Q(Q),   // 1-bit DDR output       .C(C),   // 1-bit clock input       .CE(CE), // 1-bit clock enable input       .D1(D1), // 1-bit data input (positive edge)       .D2(D2), // 1-bit data input (negative edge)       .R(R),   // 1-bit reset       .S(S)    // 1-bit set       );     // The following defparams specify the behavior of the ODDR    // component.  If the instance name is changed, that     // change needs to be reflected in the defparam statements.     defparam ODDR_inst.DDR_CLK_EDGE = "OPPOSITE_EDGE"; // "OPPOSITE_EDGE" or "SAME_EDGE"     defparam ODDR_inst.INIT = 1'b0; // Initial value of Q: 1'b0 or 1'b1    defparam ODDR_inst.SRTYPE = "SYNC"; // Set/Reset type: "SYNC" or "ASYNC"       // End of ODDR_inst instantiation










原文链接 http://arlen.opcom.blog.163.com/blog/static/33775037201092722024551/
  • 3
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
ODDR是Vivado中的一个IP核,用于在FPGA设计中实现双数据率输出。ODDR的作用是将输入数据根据时钟信号的边缘进行采样,并将采样到的数据输出到输出端口。 在Vivado中使用ODDR时,需要注意一些问题。首先,ODDR的输出必须直接连到输出PAD上,不能再引进逻辑内部。如果将ODDR的输出连入逻辑内部,会导致输出无法正常连入到OLOGIC中。 此外,在使用ODDR时,还需要注意时钟信号的边缘敏感性。根据所使用的IDDR的特性,需要确保时钟信号的边缘与IDDR的时钟边缘一致,以正确采样输入数据并输出。 另外,可以使用BUFGMUX来选择不同的时钟信号,以满足不同的时钟要求。BUFGMUX可以帮助实现时钟信号的切换,以适应不同的设计需求。 总之,ODDR是在Vivado中用于实现双数据率输出的IP核,使用时需要注意输出直接连到输出PAD上,使用合适的时钟信号边缘敏感性以及可以使用BUFGMUX实现时钟信号的切换。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *2* *3* [FPGA原语IODELAY、ODDR、BUFGMUX和VIVADO BRAM的使用](https://blog.csdn.net/leixj025/article/details/122666017)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_1"}}] [.reference_item style="max-width: 100%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值