FPGA学习笔记1-LED流水灯

//  2017/7/19     meisq          1.0         Original
//*******************************************************************************/

`timescale 1ns / 1ps               // set up timescale as 1ns
module led_test                    // config the module,input output reg included
(
	input           clk,           // system clock 50Mhz on board
	input           rst_n,         // reset ,low active
	output          led1,          // led on core board
	output reg[3:0] led            // LED,use for control the LED signal on expansion board
);

assign led1 = led[0];

//define the time counter
reg [31:0]      timer;

// cycle counter:from 0 to 4 sec
always@(posedge clk or negedge rst_n)
begin
	if (rst_n == 1'b0)
		timer <= 32'd0;                     //when the reset signal valid,time counter clearing
	else if (timer == 32'd199_999_99)      //4 seconds count(50M*4-1=199999999)
		timer <= 32'd0;                     //count done,clearing the time counter
	else
		timer <= timer + 32'd1;             //timer counter = timer counter + 1
end

// LED control
always@(posedge clk or negedge rst_n)
begin
	if (rst_n == 1'b0)
		led <= 4'b0000;                     //when the reset signal active
	else if (timer == 32'd49_999_99)       //time counter count to 1st sec,LED1 lighten
		led <= 4'b0001;
	else if (timer == 32'd99_999_99)       //time counter count to 2nd sec,LED2 lighten
		led <= 4'b0011;
	else if (timer == 32'd149_999_99)      //time counter count to 3rd sec,LED3 lighten
		led <= 4'b0111;
	else if (timer == 32'd199_999_99)      //time counter count to 4th sec,LED4 lighten
		led <= 4'b1111;
end
endmodule

 

  • 2
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值